Ticket #47747: main.log

File main.log, 62.1 KB (added by smiler2505@…, 9 years ago)

Log

Line 
1version:1
2:debug:main epoch: in tree: 0 installed: 0
3:debug:main xz 5.2.1_0 exists in the ports tree
4:debug:main xz 5.2.1_0  is the latest installed
5:debug:main xz 5.2.1_0  is active
6:debug:main Merging existing variants '' into variants
7:debug:main new fully merged portvariants:
8:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/archivers/xz
9:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
10:debug:main adding the default universal variant
11:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
12:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
13:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
14:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
15:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
16:debug:main No need to upgrade! xz 5.2.1_0 >= xz 5.2.1_0
17:debug:main epoch: in tree: 0 installed: 0
18:debug:main libiconv 1.14_0 exists in the ports tree
19:debug:main libiconv 1.14_0  is the latest installed
20:debug:main libiconv 1.14_0  is active
21:debug:main Merging existing variants '' into variants
22:debug:main new fully merged portvariants:
23:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/textproc/libiconv
24:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
25:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
26:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
27:debug:main universal variant already exists, so not adding the default one
28:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
29:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
30:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
31:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
32:debug:main No need to upgrade! libiconv 1.14_0 >= libiconv 1.14_0
33:debug:main epoch: in tree: 2 installed: 2
34:debug:main gettext 0.19.4_0 exists in the ports tree
35:debug:main gettext 0.19.4_0  is the latest installed
36:debug:main gettext 0.19.4_0  is active
37:debug:main Merging existing variants '' into variants
38:debug:main new fully merged portvariants:
39:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/devel/gettext
40:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
41:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
42:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
43:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
44:debug:main compiler clang 425.0.28 not blacklisted because it doesn't match {clang < 211.10.1}
45:debug:main universal variant already exists, so not adding the default one
46:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
47:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
48:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
49:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
50:debug:main No need to upgrade! gettext 0.19.4_0 >= gettext 0.19.4_0
51:debug:main epoch: in tree: 0 installed: 0
52:debug:main expat 2.1.0_0 exists in the ports tree
53:debug:main expat 2.1.0_0  is the latest installed
54:debug:main expat 2.1.0_0  is active
55:debug:main Merging existing variants '' into variants
56:debug:main new fully merged portvariants:
57:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/textproc/expat
58:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
59:debug:main adding the default universal variant
60:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
61:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
62:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
63:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
64:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
65:debug:main No need to upgrade! expat 2.1.0_0 >= expat 2.1.0_0
66:debug:main epoch: in tree: 0 installed: 0
67:debug:main ncurses 5.9_2 exists in the ports tree
68:debug:main ncurses 5.9_2  is the latest installed
69:debug:main ncurses 5.9_2  is active
70:debug:main Merging existing variants '' into variants
71:debug:main new fully merged portvariants:
72:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/devel/ncurses
73:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
74:debug:main adding the default universal variant
75:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
76:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
77:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
78:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
79:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
80:debug:main No need to upgrade! ncurses 5.9_2 >= ncurses 5.9_2
81:debug:main epoch: in tree: 0 installed: 0
82:debug:main pkgconfig 0.28_0 exists in the ports tree
83:debug:main pkgconfig 0.28_0  is the latest installed
84:debug:main pkgconfig 0.28_0  is active
85:debug:main Merging existing variants '' into variants
86:debug:main new fully merged portvariants:
87:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/devel/pkgconfig
88:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
89:debug:main adding the default universal variant
90:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
91:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
92:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
93:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
94:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
95:debug:main No need to upgrade! pkgconfig 0.28_0 >= pkgconfig 0.28_0
96:debug:main epoch: in tree: 0 installed: 0
97:debug:main guile 2.0.11_1 exists in the ports tree
98:debug:main guile 2.0.11_1  is the latest installed
99:debug:main guile 2.0.11_1  is active
100:debug:main Merging existing variants '' into variants
101:debug:main new fully merged portvariants:
102:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/lang/guile
103:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
104:debug:main universal_variant is false, so not adding the default universal variant
105:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
106:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
107:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
108:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
109:debug:main No need to upgrade! guile 2.0.11_1 >= guile 2.0.11_1
110:debug:main epoch: in tree: 0 installed: 0
111:debug:main readline 6.3.003_0 exists in the ports tree
112:debug:main readline 6.3.003_0  is the latest installed
113:debug:main readline 6.3.003_0  is active
114:debug:main Merging existing variants '' into variants
115:debug:main new fully merged portvariants:
116:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/devel/readline
117:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
118:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
119:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
120:debug:main universal variant already exists, so not adding the default one
121:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
122:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
123:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
124:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
125:debug:main No need to upgrade! readline 6.3.003_0 >= readline 6.3.003_0
126:debug:main epoch: in tree: 0 installed: 0
127:debug:main libtool 2.4.6_0 exists in the ports tree
128:debug:main libtool 2.4.6_0  is the latest installed
129:debug:main libtool 2.4.6_0  is active
130:debug:main Merging existing variants '' into variants
131:debug:main new fully merged portvariants:
132:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/devel/libtool
133:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
134:debug:main adding the default universal variant
135:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
136:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
137:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
138:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
139:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
140:debug:main No need to upgrade! libtool 2.4.6_0 >= libtool 2.4.6_0
141:debug:main epoch: in tree: 0 installed: 0
142:debug:main m4 1.4.17_0 exists in the ports tree
143:debug:main m4 1.4.17_0  is the latest installed
144:debug:main m4 1.4.17_0  is active
145:debug:main Merging existing variants '' into variants
146:debug:main new fully merged portvariants:
147:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/devel/m4
148:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
149:debug:main adding the default universal variant
150:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
151:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
152:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
153:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
154:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
155:debug:main No need to upgrade! m4 1.4.17_0 >= m4 1.4.17_0
156:debug:main epoch: in tree: 0 installed: 0
157:debug:main gmp 6.0.0_1 exists in the ports tree
158:debug:main gmp 6.0.0_1  is the latest installed
159:debug:main gmp 6.0.0_1  is active
160:debug:main Merging existing variants '' into variants
161:debug:main new fully merged portvariants:
162:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/devel/gmp
163:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
164:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
165:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
166:debug:main universal variant already exists, so not adding the default one
167:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
168:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
169:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
170:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
171:debug:main No need to upgrade! gmp 6.0.0_1 >= gmp 6.0.0_1
172:debug:main epoch: in tree: 0 installed: 0
173:debug:main libunistring 0.9.5_0 exists in the ports tree
174:debug:main libunistring 0.9.5_0  is the latest installed
175:debug:main libunistring 0.9.5_0  is active
176:debug:main Merging existing variants '' into variants
177:debug:main new fully merged portvariants:
178:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/textproc/libunistring
179:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
180:debug:main adding the default universal variant
181:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
182:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
183:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
184:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
185:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
186:debug:main No need to upgrade! libunistring 0.9.5_0 >= libunistring 0.9.5_0
187:debug:main epoch: in tree: 0 installed: 0
188:debug:main boehmgc 7.4.2_0 exists in the ports tree
189:debug:main boehmgc 7.4.2_0  is the latest installed
190:debug:main boehmgc 7.4.2_0  is active
191:debug:main Merging existing variants '' into variants
192:debug:main new fully merged portvariants:
193:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/devel/boehmgc
194:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
195:debug:main Sourcing PortGroup github 1.0 from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/group/github-1.0.tcl
196:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
197:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
198:debug:main universal variant already exists, so not adding the default one
199:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
200:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
201:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
202:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
203:debug:main No need to upgrade! boehmgc 7.4.2_0 >= boehmgc 7.4.2_0
204:debug:main epoch: in tree: 0 installed: 0
205:debug:main libffi 3.2.1_0 exists in the ports tree
206:debug:main libffi 3.2.1_0  is the latest installed
207:debug:main libffi 3.2.1_0  is active
208:debug:main Merging existing variants '' into variants
209:debug:main new fully merged portvariants:
210:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/devel/libffi
211:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
212:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
213:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
214:debug:main universal variant already exists, so not adding the default one
215:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
216:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
217:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
218:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
219:debug:main No need to upgrade! libffi 3.2.1_0 >= libffi 3.2.1_0
220:debug:main epoch: in tree: 0 installed: 0
221:debug:main libxml2 2.9.2_2 exists in the ports tree
222:debug:main libxml2 2.9.2_2  is the latest installed
223:debug:main libxml2 2.9.2_2  is active
224:debug:main Merging existing variants '' into variants
225:debug:main new fully merged portvariants:
226:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/textproc/libxml2
227:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
228:debug:main adding the default universal variant
229:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
230:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
231:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
232:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
233:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
234:debug:main No need to upgrade! libxml2 2.9.2_2 >= libxml2 2.9.2_2
235:debug:main epoch: in tree: 0 installed: 0
236:debug:main zlib 1.2.8_0 exists in the ports tree
237:debug:main zlib 1.2.8_0  is the latest installed
238:debug:main zlib 1.2.8_0  is active
239:debug:main Merging existing variants '' into variants
240:debug:main new fully merged portvariants:
241:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/archivers/zlib
242:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
243:debug:main Sourcing PortGroup xcodeversion 1.0 from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/group/xcodeversion-1.0.tcl
244:debug:main adding the default universal variant
245:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
246:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
247:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
248:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
249:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
250:debug:main No need to upgrade! zlib 1.2.8_0 >= zlib 1.2.8_0
251:msg:main --->  Computing dependencies for autogen:info:main .:debug:main autogen has no conflicts
252:debug:main Searching for dependency: xz
253:debug:main Found Dependency: receipt exists for xz
254:debug:main Searching for dependency: pkgconfig
255:debug:main Found Dependency: receipt exists for pkgconfig
256:debug:main Searching for dependency: guile
257:debug:main Found Dependency: receipt exists for guile
258:debug:main Searching for dependency: libiconv
259:debug:main Found Dependency: receipt exists for libiconv
260:debug:main Searching for dependency: libxml2
261:debug:main Found Dependency: receipt exists for libxml2
262:debug:main Searching for dependency: zlib
263:debug:main Found Dependency: receipt exists for zlib
264:debug:main Searching for dependency: coreutils
265:debug:main Didn't find receipt, going to depspec regex for: coreutils
266:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/sysutils/coreutils
267:debug:main OS darwin/12.6.0 (Mac OS X 10.8) arch i386
268:debug:main adding the default universal variant
269:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
270:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
271:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
272:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
273:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
274:info:main .:debug:main Checking for conflicts against coreutils
275:debug:main Searching for dependency: gexpr
276:debug:main Didn't find receipt, going to depspec regex for: gexpr
277:debug:main Searching for dependency: gettext
278:debug:main Found Dependency: receipt exists for gettext
279:debug:main Searching for dependency: gmp
280:debug:main Found Dependency: receipt exists for gmp
281:msg:main
282:msg:main --->  Dependencies to be installed: coreutils
283:debug:main Starting logging for coreutils
284:debug:main Executing org.macports.main (autogen)
285:debug:main changing euid/egid - current euid: 0 - current egid: 0
286:debug:main egid changed to: 501
287:debug:main euid changed to: 502
288:debug:archivefetch archivefetch phase started at Sat May 16 15:34:17 BST 2015
289:msg:archivefetch --->  Fetching archive for autogen
290:debug:archivefetch Executing org.macports.archivefetch (autogen)
291:debug:archivefetch euid/egid changed to: 0/0
292:debug:archivefetch chowned /opt/local/var/macports/incoming to macports
293:debug:archivefetch euid/egid changed to: 502/501
294:info:archivefetch --->  autogen-5.18.4_0.darwin_12.x86_64.tbz2 doesn't seem to exist in /opt/local/var/macports/incoming/verified
295:msg:archivefetch --->  Attempting to fetch autogen-5.18.4_0.darwin_12.x86_64.tbz2 from http://packages.macports.org/autogen
296:debug:archivefetch Fetching archive failed:: The requested URL returned error: 404
297:msg:archivefetch --->  Attempting to fetch autogen-5.18.4_0.darwin_12.x86_64.tbz2 from http://lil.fr.packages.macports.org/autogen
298:debug:archivefetch Fetching archive failed:: The requested URL returned error: 404
299:msg:archivefetch --->  Attempting to fetch autogen-5.18.4_0.darwin_12.x86_64.tbz2 from http://nue.de.packages.macports.org/macports/packages/autogen
300:debug:archivefetch Fetching archive failed:: The requested URL returned error: 404
301:debug:archivefetch Privilege de-escalation not attempted as not running as root.
302:debug:fetch fetch phase started at Sat May 16 15:34:17 BST 2015
303:notice:fetch --->  Fetching distfiles for autogen
304:debug:fetch Executing org.macports.fetch (autogen)
305:debug:fetch Privilege de-escalation not attempted as not running as root.
306:debug:checksum checksum phase started at Sat May 16 15:34:17 BST 2015
307:notice:checksum --->  Verifying checksums for autogen
308:debug:checksum Executing org.macports.checksum (autogen)
309:info:checksum --->  Checksumming autogen-5.18.4.tar.xz
310:debug:checksum Calculated (rmd160) is df9e0fc5304ecaa4a15e4fce3fcd074205e00188
311:debug:checksum Correct (rmd160) checksum for autogen-5.18.4.tar.xz
312:debug:checksum Calculated (sha256) is 7fbaff0c25035aee5b96913de2c83d9a5cc973b8dc08d6b7489ecbcfd72eb84b
313:debug:checksum Correct (sha256) checksum for autogen-5.18.4.tar.xz
314:debug:checksum Privilege de-escalation not attempted as not running as root.
315:debug:extract extract phase started at Sat May 16 15:34:17 BST 2015
316:notice:extract --->  Extracting autogen
317:debug:extract setting option extract.cmd to /opt/local/bin/xz
318:debug:extract Executing org.macports.extract (autogen)
319:info:extract --->  Extracting autogen-5.18.4.tar.xz
320:debug:extract setting option extract.args to '/opt/local/var/macports/distfiles/autogen/autogen-5.18.4.tar.xz'
321:debug:extract Environment:
322CC_PRINT_OPTIONS='YES'
323CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/.CC_PRINT_OPTIONS'
324CPATH='/opt/local/include'
325LIBRARY_PATH='/opt/local/lib'
326MACOSX_DEPLOYMENT_TARGET='10.8'
327:debug:extract Assembled command: 'cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work" && /opt/local/bin/xz -dc '/opt/local/var/macports/distfiles/autogen/autogen-5.18.4.tar.xz' | /usr/bin/gnutar --no-same-owner -xf -'
328:debug:extract Executing command line:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work" && /opt/local/bin/xz -dc '/opt/local/var/macports/distfiles/autogen/autogen-5.18.4.tar.xz' | /usr/bin/gnutar --no-same-owner -xf -
329:debug:extract euid/egid changed to: 0/0
330:debug:extract chowned /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work to macports
331:debug:extract euid/egid changed to: 502/501
332:debug:extract Privilege de-escalation not attempted as not running as root.
333:debug:patch patch phase started at Sat May 16 15:34:19 BST 2015
334:debug:patch Executing org.macports.patch (autogen)
335:debug:patch Privilege de-escalation not attempted as not running as root.
336:debug:configure configure phase started at Sat May 16 15:34:19 BST 2015
337:notice:configure --->  Configuring autogen
338:debug:configure Using compiler 'Xcode Clang'
339:debug:configure Executing org.macports.configure (autogen)
340:debug:configure Environment:
341CC='/usr/bin/clang'
342CC_PRINT_OPTIONS='YES'
343CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/.CC_PRINT_OPTIONS'
344CFLAGS='-pipe -Os -arch x86_64'
345CPATH='/opt/local/include'
346CPPFLAGS='-I/opt/local/include'
347CXX='/usr/bin/clang++'
348CXXFLAGS='-pipe -Os -arch x86_64 -stdlib=libstdc++'
349F77FLAGS='-m64'
350F90FLAGS='-pipe -Os -m64'
351FCFLAGS='-pipe -Os -m64'
352FFLAGS='-pipe -Os'
353INSTALL='/usr/bin/install -c'
354LDFLAGS='-L/opt/local/lib -Wl,-headerpad_max_install_names -arch x86_64'
355LIBRARY_PATH='/opt/local/lib'
356MACOSX_DEPLOYMENT_TARGET='10.8'
357OBJC='/usr/bin/clang'
358OBJCFLAGS='-pipe -Os -arch x86_64'
359OBJCXX='/usr/bin/clang++'
360OBJCXXFLAGS='-pipe -Os -arch x86_64 -stdlib=libstdc++'
361__CFPREFERENCES_AVOID_DAEMON='1'
362:debug:configure Assembled command: 'cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4" && ./configure --prefix=/opt/local --mandir=/opt/local/share/man --infodir=/opt/local/share/info'
363:debug:configure Executing command line:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4" && ./configure --prefix=/opt/local --mandir=/opt/local/share/man --infodir=/opt/local/share/info
364:info:configure checking build system type... x86_64-apple-darwin12.6.0
365:info:configure checking host system type... x86_64-apple-darwin12.6.0
366:info:configure checking target system type... x86_64-apple-darwin12.6.0
367:info:configure *-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*
368:info:configure
369:info:configure                                            A    U    T    O    G    E    N
370:info:configure
371:info:configure GNU AutoGen-5.18.4                                                                                 libopts.la-41:0:16
372:info:configure *-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*
373:info:configure checking for a BSD-compatible install... /usr/bin/install -c
374:info:configure checking whether build environment is sane... yes
375:info:configure checking for a thread-safe mkdir -p... /opt/local/bin/gmkdir -p
376:info:configure checking for gawk... gawk
377:info:configure checking whether make sets $(MAKE)... yes
378:info:configure checking whether make supports nested variables... yes
379:info:configure checking for style of include used by make... GNU
380:info:configure checking for gcc... /usr/bin/clang
381:info:configure checking whether the C compiler works... yes
382:info:configure checking for C compiler default output file name... a.out
383:info:configure checking for suffix of executables...
384:info:configure checking whether we are cross compiling... no
385:info:configure checking for suffix of object files... o
386:info:configure checking whether we are using the GNU C compiler... yes
387:info:configure checking whether /usr/bin/clang accepts -g... yes
388:info:configure checking for /usr/bin/clang option to accept ISO C89... none needed
389:info:configure checking whether /usr/bin/clang understands -c and -o together... yes
390:info:configure checking dependency style of /usr/bin/clang... gcc3
391:info:configure checking how to run the C preprocessor... /usr/bin/clang -E
392:info:configure checking for grep that handles long lines and -e... /usr/bin/grep
393:info:configure checking for egrep... /usr/bin/grep -E
394:info:configure checking for ANSI C header files... yes
395:info:configure checking for sys/types.h... yes
396:info:configure checking for sys/stat.h... yes
397:info:configure checking for stdlib.h... yes
398:info:configure checking for string.h... yes
399:info:configure checking for memory.h... yes
400:info:configure checking for strings.h... yes
401:info:configure checking for inttypes.h... yes
402:info:configure checking for stdint.h... yes
403:info:configure checking for unistd.h... yes
404:info:configure checking minix/config.h usability... no
405:info:configure checking minix/config.h presence... no
406:info:configure checking for minix/config.h... no
407:info:configure checking whether it is safe to define __EXTENSIONS__... yes
408:info:configure checking whether _XOPEN_SOURCE should be defined... no
409:info:configure checking how to print strings... printf
410:info:configure checking for a sed that does not truncate output... /usr/bin/sed
411:info:configure checking for fgrep... /usr/bin/grep -F
412:info:configure checking for ld used by /usr/bin/clang... /usr/bin/ld
413:info:configure checking if the linker (/usr/bin/ld) is GNU ld... no
414:info:configure checking for BSD- or MS-compatible name lister (nm)... /opt/local/bin/nm
415:info:configure checking the name lister (/opt/local/bin/nm) interface... BSD nm
416:info:configure checking whether ln -s works... yes
417:info:configure checking the maximum length of command line arguments... 196608
418:info:configure checking whether the shell understands some XSI constructs... yes
419:info:configure checking whether the shell understands "+="... yes
420:info:configure checking how to convert x86_64-apple-darwin12.6.0 file names to x86_64-apple-darwin12.6.0 format... func_convert_file_noop
421:info:configure checking how to convert x86_64-apple-darwin12.6.0 file names to toolchain format... func_convert_file_noop
422:info:configure checking for /usr/bin/ld option to reload object files... -r
423:info:configure checking for objdump... objdump
424:info:configure checking how to recognize dependent libraries... pass_all
425:info:configure checking for dlltool... dlltool
426:info:configure checking how to associate runtime and link libraries... printf %s\n
427:info:configure checking for ar... ar
428:info:configure checking for archiver @FILE support... no
429:info:configure checking for strip... strip
430:info:configure checking for ranlib... ranlib
431:info:configure checking command to parse /opt/local/bin/nm output from /usr/bin/clang object... ok
432:info:configure checking for sysroot... no
433:info:configure checking for mt... no
434:info:configure checking if : is a manifest tool... no
435:info:configure checking for dsymutil... dsymutil
436:info:configure checking for nmedit... nmedit
437:info:configure checking for lipo... lipo
438:info:configure checking for otool... otool
439:info:configure checking for otool64... no
440:info:configure checking for -single_module linker flag... yes
441:info:configure checking for -exported_symbols_list linker flag... yes
442:info:configure checking for -force_load linker flag... yes
443:info:configure checking for dlfcn.h... yes
444:info:configure checking for objdir... .libs
445:info:configure checking if /usr/bin/clang supports -fno-rtti -fno-exceptions... yes
446:info:configure checking for /usr/bin/clang option to produce PIC... -fno-common -DPIC
447:info:configure checking if /usr/bin/clang PIC flag -fno-common -DPIC works... yes
448:info:configure checking if /usr/bin/clang static flag -static works... no
449:info:configure checking if /usr/bin/clang supports -c -o file.o... yes
450:info:configure checking if /usr/bin/clang supports -c -o file.o... (cached) yes
451:info:configure checking whether the /usr/bin/clang linker (/usr/bin/ld) supports shared libraries... yes
452:info:configure checking dynamic linker characteristics... darwin12.6.0 dyld
453:info:configure checking how to hardcode library paths into programs... immediate
454:info:configure checking whether stripping libraries is possible... yes
455:info:configure checking if libtool supports shared libraries... yes
456:info:configure checking whether to build shared libraries... yes
457:info:configure checking whether to build static libraries... yes
458:info:configure checking if malloc debugging is wanted... no
459:info:configure checking for /usr/bin/clang option to accept ISO C99... none needed
460:info:configure checking for /usr/bin/clang option to accept ISO Standard C... (cached) none needed
461:info:configure checking whether clearerr_unlocked is declared... yes
462:info:configure checking whether feof_unlocked is declared... yes
463:info:configure checking whether ferror_unlocked is declared... yes
464:info:configure checking whether fflush_unlocked is declared... no
465:info:configure checking whether fgets_unlocked is declared... no
466:info:configure checking whether fputc_unlocked is declared... no
467:info:configure checking whether fputs_unlocked is declared... no
468:info:configure checking whether fread_unlocked is declared... no
469:info:configure checking whether fwrite_unlocked is declared... no
470:info:configure checking whether getc_unlocked is declared... yes
471:info:configure checking whether getchar_unlocked is declared... yes
472:info:configure checking whether putc_unlocked is declared... yes
473:info:configure checking whether putchar_unlocked is declared... yes
474:info:configure checking for texi2html... :
475:info:configure checking for an ANSI C-conforming const... yes
476:info:configure checking for inline... inline
477:info:configure checking for dlopen in -ldl... yes
478:info:configure checking for mode_t... yes
479:info:configure checking for pid_t... yes
480:info:configure checking for size_t... yes
481:info:configure checking for uid_t in sys/types.h... yes
482:info:configure checking for long double with more range or precision than double... yes
483:info:configure checking for long long... yes
484:info:configure checking for uintmax_t... yes
485:info:configure checking for size_t... (cached) yes
486:info:configure checking for wchar_t... yes
487:info:configure checking size of char*... 8
488:info:configure checking size of int... 4
489:info:configure checking size of long... 8
490:info:configure checking size of short... 2
491:info:configure checking for strchr... yes
492:info:configure checking for strlcpy... yes
493:info:configure checking for snprintf... yes
494:info:configure checking for dlopen... yes
495:info:configure checking for library containing copysign... none required
496:info:configure checking for library containing copysignl... none required
497:info:configure checking for library containing modfl... none required
498:info:configure checking for unsigned long long int... yes
499:info:configure checking libio.h usability... no
500:info:configure checking libio.h presence... no
501:info:configure checking for libio.h... no
502:info:configure checking ctype.h usability... yes
503:info:configure checking ctype.h presence... yes
504:info:configure checking for ctype.h... yes
505:info:configure checking assert.h usability... yes
506:info:configure checking assert.h presence... yes
507:info:configure checking for assert.h... yes
508:info:configure checking sys/resource.h usability... yes
509:info:configure checking sys/resource.h presence... yes
510:info:configure checking for sys/resource.h... yes
511:info:configure checking whether sigsetjmp is declared... yes
512:info:configure checking whether sys_siglist is declared... yes
513:info:configure checking for putenv... yes
514:info:configure checking for getdate_r... no
515:info:configure checking for utimes... yes
516:info:configure checking for futimes... yes
517:info:configure checking for inline... (cached) inline
518:info:configure checking for long long int... yes
519:info:configure checking for grep that handles long lines and -e... (cached) /usr/bin/grep
520:info:configure checking for egrep... (cached) /usr/bin/grep -E
521:info:configure checking for fgrep... (cached) /usr/bin/grep -F
522:info:configure checking for dirent.h that defines DIR... yes
523:info:configure checking for library containing opendir... none required
524:info:configure checking sys/mman.h usability... yes
525:info:configure checking sys/mman.h presence... yes
526:info:configure checking for sys/mman.h... yes
527:info:configure checking sys/param.h usability... yes
528:info:configure checking sys/param.h presence... yes
529:info:configure checking for sys/param.h... yes
530:info:configure checking sys/poll.h usability... yes
531:info:configure checking sys/poll.h presence... yes
532:info:configure checking for sys/poll.h... yes
533:info:configure checking sys/procset.h usability... no
534:info:configure checking sys/procset.h presence... no
535:info:configure checking for sys/procset.h... no
536:info:configure checking sys/select.h usability... yes
537:info:configure checking sys/select.h presence... yes
538:info:configure checking for sys/select.h... yes
539:info:configure checking sys/socket.h usability... yes
540:info:configure checking sys/socket.h presence... yes
541:info:configure checking for sys/socket.h... yes
542:info:configure checking sys/stropts.h usability... no
543:info:configure checking sys/stropts.h presence... no
544:info:configure checking for sys/stropts.h... no
545:info:configure checking sys/time.h usability... yes
546:info:configure checking sys/time.h presence... yes
547:info:configure checking for sys/time.h... yes
548:info:configure checking sys/un.h usability... yes
549:info:configure checking sys/un.h presence... yes
550:info:configure checking for sys/un.h... yes
551:info:configure checking sys/wait.h usability... yes
552:info:configure checking sys/wait.h presence... yes
553:info:configure checking for sys/wait.h... yes
554:info:configure checking for dlfcn.h... (cached) yes
555:info:configure checking errno.h usability... yes
556:info:configure checking errno.h presence... yes
557:info:configure checking for errno.h... yes
558:info:configure checking fcntl.h usability... yes
559:info:configure checking fcntl.h presence... yes
560:info:configure checking for fcntl.h... yes
561:info:configure checking libgen.h usability... yes
562:info:configure checking libgen.h presence... yes
563:info:configure checking for libgen.h... yes
564:info:configure checking libintl.h usability... yes
565:info:configure checking libintl.h presence... yes
566:info:configure checking for libintl.h... yes
567:info:configure checking for memory.h... (cached) yes
568:info:configure checking netinet/in.h usability... yes
569:info:configure checking netinet/in.h presence... yes
570:info:configure checking for netinet/in.h... yes
571:info:configure checking setjmp.h usability... yes
572:info:configure checking setjmp.h presence... yes
573:info:configure checking for setjmp.h... yes
574:info:configure checking stdbool.h usability... yes
575:info:configure checking stdbool.h presence... yes
576:info:configure checking for stdbool.h... yes
577:info:configure checking sysexits.h usability... yes
578:info:configure checking sysexits.h presence... yes
579:info:configure checking for sysexits.h... yes
580:info:configure checking for unistd.h... (cached) yes
581:info:configure checking utime.h usability... yes
582:info:configure checking utime.h presence... yes
583:info:configure checking for utime.h... yes
584:info:configure checking stdarg.h usability... yes
585:info:configure checking stdarg.h presence... yes
586:info:configure checking for stdarg.h... yes
587:info:configure checking for string.h... (cached) yes
588:info:configure checking limits.h usability... yes
589:info:configure checking limits.h presence... yes
590:info:configure checking for limits.h... yes
591:info:configure checking for inttypes.h... (cached) yes
592:info:configure checking for working stdnoreturn.h... no
593:info:configure checking for runetype.h... yes
594:info:configure checking for wchar.h... yes
595:info:configure checking for wchar_t... (cached) yes
596:info:configure checking for wint_t... yes
597:info:configure checking for int8_t... yes
598:info:configure checking for uint8_t... yes
599:info:configure checking for int16_t... yes
600:info:configure checking for uint16_t... yes
601:info:configure checking for int32_t... yes
602:info:configure checking for uint32_t... yes
603:info:configure checking for intptr_t... yes
604:info:configure checking for uintptr_t... yes
605:info:configure checking for uint_t... no
606:info:configure checking for pid_t... (cached) yes
607:info:configure checking for size_t... (cached) yes
608:info:configure checking for ptrdiff_t... yes
609:info:configure checking size of char*... (cached) 8
610:info:configure checking size of int... (cached) 4
611:info:configure checking size of long... (cached) 8
612:info:configure checking size of short... (cached) 2
613:info:configure checking for pathfind in -lgen... no
614:info:configure checking for gettext in -lintl... yes
615:info:configure checking for vprintf... yes
616:info:configure checking for _doprnt... no
617:info:configure checking vfork.h usability... no
618:info:configure checking vfork.h presence... no
619:info:configure checking for vfork.h... no
620:info:configure checking for fork... yes
621:info:configure checking for vfork... yes
622:info:configure checking for working fork... yes
623:info:configure checking for working vfork... (cached) yes
624:info:configure checking for mmap... yes
625:info:configure checking for canonicalize_file_name... no
626:info:configure checking for snprintf... (cached) yes
627:info:configure checking for strdup... yes
628:info:configure checking for strchr... (cached) yes
629:info:configure checking for strrchr... yes
630:info:configure checking for strsignal... yes
631:info:configure checking for fchmod... yes
632:info:configure checking for fstat... yes
633:info:configure checking for chmod... yes
634:info:configure checking for a sed that does not truncate output... (cached) /usr/bin/sed
635:info:configure checking whether shell scripts are desired... yes
636:info:configure checking for vfork.h... (cached) no
637:info:configure checking for fork... (cached) yes
638:info:configure checking for vfork... (cached) yes
639:info:configure checking for working fork... (cached) yes
640:info:configure checking for working vfork... (cached) yes
641:info:configure checking whether using shell scripts... yes
642:info:configure checking whether setjmp() links okay... yes
643:info:configure checking whether __attribute__((format_arg(n))) works... yes
644:info:configure checking whether sigsetjmp() links okay... yes
645:info:configure checking whether with-libguile was specified... no
646:info:configure checking whether with-libguile-cflags was specified... no
647:info:configure checking whether with-libguile-libs was specified... no
648:info:configure configure: guile-config used for CFLAGS: -D_THREAD_SAFE -I/opt/local/include/guile/2.0 -I/opt/local/include
649:info:configure configure: guile-config used for LIBS: -L/opt/local/lib -lguile-2.0 -lgc
650:info:configure checking whether libguile can be linked with... yes
651:info:configure checking whether the guile version is set... no
652:info:configure checking whether the guile version... 2.0.11
653:info:configure checking whether with-libxml2 was specified... no
654:info:configure checking whether with-libxml2-cflags was specified... no
655:info:configure checking whether with-libxml2-libs was specified... no
656:info:configure configure: xml2-config used for CFLAGS: -I/opt/local/include/libxml2
657:info:configure configure: xml2-config used for LIBS: -L/opt/local/lib -lxml2 -lz -lpthread -liconv -lm
658:info:configure checking whether libxml2 can be linked with... yes
659:info:configure checking whether sysinfo(2) is Solaris... no
660:info:configure checking whether strcspn matches prototype and works... no
661:info:configure checking whether uname(2) is POSIX... yes
662:info:configure checking whether runtime library dirs can be specified... -R${libdir}
663:info:configure checking whether wanting autogen debugging... no
664:info:configure checking whether name of the packager of this software is supplied... no
665:info:configure checking whether packager-specific version information is supplied... no
666:info:configure checking whether bug reporting URI/e-mail/etc. is supplied... no
667:info:configure checking whether a reg expr header is specified... no
668:info:configure checking whether with-libregex was specified... no
669:info:configure checking whether with-libregex-cflags was specified... no
670:info:configure checking whether with-libregex-libs was specified... no
671:info:configure checking whether libregex functions properly... yes
672:info:configure checking whether pathfind(3) works... no
673:info:configure checking whether /dev/zero is readable device... crw-rw-rw- 1 root wheel 3, 3 May 15 19:27 /dev/zero
674:info:configure checking whether we have a functional realpath(3C)... yes
675:info:configure checking whether strftime() works... yes
676:info:configure checking whether fopen accepts "b" mode... yes
677:info:configure checking whether fopen accepts "t" mode... yes
678:info:configure checking whether not wanting optional option args... yes
679:info:configure test "X${ac_cv_header_sys_wait_h}" = Xyes
680:info:configure checking for fopencookie... no
681:info:configure checking for funopen... yes
682:info:configure checking for cookie_function_t type... bsd
683:info:configure checking for static inline... static inline
684:info:configure checking for working stdnoreturn.h... (cached) no
685:info:configure checking if malloc debugging is wanted... no
686:info:configure checking for gawk... (cached) gawk
687:info:configure checking for runetype.h... (cached) yes
688:info:configure checking for wchar.h... (cached) yes
689:info:configure checking for stdarg.h... (cached) yes
690:info:configure checking for wchar_t... (cached) yes
691:info:configure checking for wint_t... (cached) yes
692:info:configure checking for long double... yes
693:info:configure checking for strtoul... yes
694:info:configure checking for ldexpl... yes
695:info:configure checking for frexpl... yes
696:info:configure checking for log in -lm... yes
697:info:configure checking for copysign... yes
698:info:configure checking for copysignl... yes
699:info:configure checking whether ln -s works... yes
700:info:configure checking that generated files are newer than configure... done
701:info:configure configure: creating ./config.status
702:info:configure config.status: creating autoopts/mk-autoopts-pc
703:info:configure config.status: creating autoopts/autoopts-config
704:info:configure config.status: creating autoopts/tpl/tpl-config.tlib
705:info:configure config.status: creating doc/auto_gen.tpl
706:info:configure config.status: creating autoopts/test/defs
707:info:configure config.status: creating config/mk-shdefs
708:info:configure config.status: creating pkg/pkg-env
709:info:configure config.status: creating Makefile
710:info:configure config.status: creating agen5/Makefile
711:info:configure config.status: creating agen5/test/Makefile
712:info:configure config.status: creating autoopts/Makefile
713:info:configure config.status: creating autoopts/test/Makefile
714:info:configure config.status: creating columns/Makefile
715:info:configure config.status: creating compat/Makefile
716:info:configure config.status: creating doc/Makefile
717:info:configure config.status: creating getdefs/Makefile
718:info:configure config.status: creating getdefs/test/Makefile
719:info:configure config.status: creating pkg/Makefile
720:info:configure config.status: creating snprintfv/Makefile
721:info:configure config.status: creating xml2ag/Makefile
722:info:configure config.status: creating xml2ag/test/Makefile
723:info:configure config.status: creating config.h
724:info:configure config.status: executing depfiles commands
725:info:configure config.status: executing libtool commands
726:info:configure config.status: executing stamp-h commands
727:info:configure ------------------------------------------------------------------------
728:info:configure Configuration:
729:info:configure
730:info:configure   Source code location:   .
731:info:configure   Compiler:               /usr/bin/clang
732:info:configure   Compiler flags:         -pipe -Os -arch x86_64
733:info:configure   Host System Type:       x86_64-apple-darwin12.6.0
734:info:configure   Install path:           /opt/local
735:info:configure
736:info:configure   See config.h for further configuration information.
737:info:configure ------------------------------------------------------------------------
738:debug:configure Privilege de-escalation not attempted as not running as root.
739:debug:build build phase started at Sat May 16 15:35:17 BST 2015
740:notice:build --->  Building autogen
741:debug:build Executing org.macports.build (autogen)
742:debug:build Environment:
743CC_PRINT_OPTIONS='YES'
744CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/.CC_PRINT_OPTIONS'
745CPATH='/opt/local/include'
746LIBRARY_PATH='/opt/local/lib'
747MACOSX_DEPLOYMENT_TARGET='10.8'
748:debug:build Assembled command: 'cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4" && /usr/bin/make -j8 -w all'
749:debug:build Executing command line:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4" && /usr/bin/make -j8 -w all
750:info:build make: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4'
751:info:build /bin/sh config/mk-shdefs config/shdefs
752:info:build config.status: creating shdef-temp
753:info:build /usr/bin/make  all-recursive
754:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4'
755:info:build Making all in compat
756:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/compat'
757:info:build :
758:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/compat'
759:info:build Making all in snprintfv
760:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/snprintfv'
761:info:build for f in filament.c format.c printf.c mem.c stream.c custom.c ; do echo "#include \"$f\"" ; done > snv.c
762:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..  -I.. -I/opt/local/include  -pipe -Os -arch x86_64 -MT snv.lo -MD -MP -MF .deps/snv.Tpo -c -o snv.lo snv.c
763:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I.. -I/opt/local/include -pipe -Os -arch x86_64 -MT snv.lo -MD -MP -MF .deps/snv.Tpo -c snv.c  -fno-common -DPIC -o .libs/snv.o
764:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I.. -I/opt/local/include -pipe -Os -arch x86_64 -MT snv.lo -MD -MP -MF .deps/snv.Tpo -c snv.c -o snv.o >/dev/null 2>&1
765:info:build mv -f .deps/snv.Tpo .deps/snv.Plo
766:info:build /bin/sh ../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -arch x86_64 -no-undefined -L/opt/local/lib -Wl,-headerpad_max_install_names -arch x86_64 -o libsnprintfv.la  snv.lo  -lm -lintl -ldl
767:info:build libtool: link: ar cru .libs/libsnprintfv.a .libs/snv.o
768:info:build libtool: link: ranlib .libs/libsnprintfv.a
769:info:build libtool: link: ( cd ".libs" && rm -f "libsnprintfv.la" && ln -s "../libsnprintfv.la" "libsnprintfv.la" )
770:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/snprintfv'
771:info:build Making all in autoopts
772:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/autoopts'
773:info:build rm -f stdnoreturn.h-t stdnoreturn.h && \
774:info:build     { echo '/* DO NOT EDIT! GENERATED AUTOMATICALLY! */' && \
775:info:build       sed -e '/definition of _Noreturn/r ../config/snippet/_Noreturn.h' \
776:info:build               < ./stdnoreturn.in.h; \
777:info:build     } > stdnoreturn.h-t && \
778:info:build     mv stdnoreturn.h-t stdnoreturn.h
779:info:build /usr/bin/make  all-recursive
780:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/autoopts'
781:info:build Making all in test
782:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/autoopts/test'
783:info:build make[4]: Nothing to be done for `all'.
784:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/autoopts/test'
785:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/autoopts'
786:info:build LGCFLAGS="-D_THREAD_SAFE -I/opt/local/include/guile/2.0 -I/opt/local/include " \
787:info:build     AGexe="/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/agen5/autogen" GDexe="/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/getdefs/getdefs" CLexe="/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/columns/columns" srcdir="."     top_srcdir=".." builddir="." top_builddir=".." POSIX_SHELL="/bin/bash" \
788:info:build         /bin/bash ./mk-tpl-config.sh tpl-config-stamp autoopts/options.h autoopts/usage-txt.h genshell.h option-xat-attribute.h option-value-type.h ao-strs.h ag-char-map.h autoopts.h project.h proto.h parse-duration.c ao-strs.c option-value-type.c option-xat-attribute.c autoopts.c alias.c    boolean.c   check.c     configfile.c cook.c enum.c     env.c       file.c      find.c       genshell.c load.c     makeshell.c nested.c    numeric.c    pgusage.c putshell.c reset.c     restore.c   save.c       sort.c stack.c    streqvcmp.c text_mmap.c time.c       tokenize.c usage.c    version.c   init.c
789:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..  -I.. -I../autoopts -I/opt/local/include -DPKGDATADIR='"/opt/local/share/autogen"' -pipe -Os -arch x86_64 -MT libopts_la-libopts.lo -MD -MP -MF .deps/libopts_la-libopts.Tpo -c -o libopts_la-libopts.lo `test -f 'libopts.c' || echo './'`libopts.c
790:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I.. -I../autoopts -I/opt/local/include -DPKGDATADIR=\"/opt/local/share/autogen\" -pipe -Os -arch x86_64 -MT libopts_la-libopts.lo -MD -MP -MF .deps/libopts_la-libopts.Tpo -c libopts.c  -fno-common -DPIC -o .libs/libopts_la-libopts.o
791:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I.. -I../autoopts -I/opt/local/include -DPKGDATADIR=\"/opt/local/share/autogen\" -pipe -Os -arch x86_64 -MT libopts_la-libopts.lo -MD -MP -MF .deps/libopts_la-libopts.Tpo -c libopts.c -o libopts_la-libopts.o >/dev/null 2>&1
792:info:build mv -f .deps/libopts_la-libopts.Tpo .deps/libopts_la-libopts.Plo
793:info:build /bin/sh ../libtool  --tag=CC   --mode=link /usr/bin/clang -DPKGDATADIR='"/opt/local/share/autogen"' -pipe -Os -arch x86_64 -version-info 41:0:16 -L/opt/local/lib -Wl,-headerpad_max_install_names -arch x86_64 -o libopts.la -rpath /opt/local/lib  libopts_la-libopts.lo ../snprintfv/libsnprintfv.la -lm -lintl -ldl
794:info:build libtool: link: /usr/bin/clang -dynamiclib -Wl,-undefined -Wl,dynamic_lookup -o .libs/libopts.25.dylib  .libs/libopts_la-libopts.o   -Wl,-force_load,../snprintfv/.libs/libsnprintfv.a  -L/opt/local/lib -lm /opt/local/lib/libintl.dylib -ldl  -Os -arch x86_64 -Wl,-headerpad_max_install_names -arch x86_64   -install_name  /opt/local/lib/libopts.25.dylib -compatibility_version 42 -current_version 42.0 -Wl,-single_module
795:info:build libtool: link: (cd ".libs" && rm -f "libopts.dylib" && ln -s "libopts.25.dylib" "libopts.dylib")
796:info:build libtool: link: (cd .libs/libopts.lax/libsnprintfv.a && ar x "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/autoopts/../snprintfv/.libs/libsnprintfv.a")
797:info:build libtool: link: ar cru .libs/libopts.a  libopts_la-libopts.o  .libs/libopts.lax/libsnprintfv.a/snv.o
798:info:build libtool: link: ranlib .libs/libopts.a
799:info:build libtool: link: rm -fr .libs/libopts.lax
800:info:build libtool: link: ( cd ".libs" && rm -f "libopts.la" && ln -s "../libopts.la" "libopts.la" )
801:info:build /bin/bash mk-autoopts-pc pkgconfig/autoopts.pc
802:info:build + exec
803:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/autoopts'
804:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/autoopts'
805:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/autoopts'
806:info:build Making all in agen5
807:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/agen5'
808:info:build Making all in test
809:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/agen5/test'
810:info:build make[3]: Nothing to be done for `all'.
811:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/agen5/test'
812:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/agen5'
813:info:build exec > ag.c ; \
814:info:build     echo '#undef   PKGDATADIR' ; \
815:info:build     echo '#define  PKGDATADIR "/opt/local/share/autogen"' ; \
816:info:build     mk=`set -- /usr/bin/make ; command -v $1` ; \
817:info:build     echo 'static char const make_prog[] = "'$mk'";' ; \
818:info:build     printf '\n#define  DEFINING 1\n' ; \
819:info:build     printf '#include "%s"\n' autoopts/project.h autogen.h autogen.c defParse-fsm.c defLex.c directive.c funcCase.c funcDef.c funcEval.c funcFor.c funcIf.c functions.c expExtract.c expFormat.c expGperf.c expGuile.c  expMake.c expOutput.c  expPrint.c  expState.c expString.c agShell.c ag-text.c    agCgi.c      agDep.c      agInit.c     agUtils.c cgi-fsm.c    defDirect.c  defFind.c    defLoad.c    fmemopen.c loadPseudo.c opts.c       scribble.c   tpLoad.c     tpParse.c tpProcess.c
820:info:build /usr/bin/clang -DHAVE_CONFIG_H -I. -I..  -I.. -I../autoopts -I/opt/local/include -D_THREAD_SAFE -I/opt/local/include/guile/2.0 -I/opt/local/include  -pipe -Os -arch x86_64 -MT autogen-ag.o -MD -MP -MF .deps/autogen-ag.Tpo -c -o autogen-ag.o `test -f 'ag.c' || echo './'`ag.c
821:info:build In file included from ag.c:7:
822:info:build In file included from ./autogen.h:60:
823:info:build In file included from /opt/local/include/guile/2.0/libguile.h:37:
824:info:build In file included from /opt/local/include/guile/2.0/libguile/async.h:28:
825:info:build In file included from /opt/local/include/guile/2.0/libguile/threads.h:36:
826:info:build In file included from /opt/local/include/guile/2.0/libguile/pthread-threads.h:29:
827:info:build /usr/include/pthread.h:311:32: error: use of undeclared identifier '__noreturn__'
828:info:build void      pthread_exit(void *) __dead2;
829:info:build                                ^
830:info:build /usr/include/sys/cdefs.h:135:33: note: expanded from macro '__dead2'
831:info:build #define __dead2         __attribute__((noreturn))
832:info:build                                        ^
833:info:build ../autoopts/stdnoreturn.h:53:19: note: expanded from macro 'noreturn'
834:info:build # define noreturn _Noreturn
835:info:build                   ^
836:info:build ../autoopts/stdnoreturn.h:34:37: note: expanded from macro '_Noreturn'
837:info:build #  define _Noreturn __attribute__ ((__noreturn__))
838:info:build                                     ^
839:info:build 1 error generated.
840:info:build make[3]: *** [autogen-ag.o] Error 1
841:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/agen5'
842:info:build make[2]: *** [all-recursive] Error 1
843:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4/agen5'
844:info:build make[1]: *** [all-recursive] Error 1
845:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4'
846:info:build make: *** [all] Error 2
847:info:build make: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4'
848:info:build Command failed:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/work/autogen-5.18.4" && /usr/bin/make -j8 -w all
849:info:build Exit code: 2
850:error:build org.macports.build for port autogen returned: command execution failed
851:debug:build Error code: CHILDSTATUS 25925 2
852:debug:build Backtrace: command execution failed
853    while executing
854"system -nice 0 $fullcmdstring"
855    ("eval" body line 1)
856    invoked from within
857"eval system $notty $nice \$fullcmdstring"
858    invoked from within
859"command_exec build"
860    (procedure "portbuild::build_main" line 8)
861    invoked from within
862"portbuild::build_main org.macports.build"
863    ("eval" body line 1)
864    invoked from within
865"eval $procedure $targetname"
866:info:build Warning: targets not executed for autogen: org.macports.activate org.macports.build org.macports.destroot org.macports.install
867:notice:build Please see the log file for port autogen for details:
868    /opt/local/var/macports/logs/_opt_local_var_macports_sources_rsync.macports.org_release_tarballs_ports_devel_autogen/autogen/main.log