Ticket #69694: config.log

File config.log, 63.8 KB (added by Linbox666, 6 weeks ago)
Line 
1This file contains any messages produced by compilers while
2running configure, to aid debugging if configure makes a mistake.
3
4It was created by GnuTLS configure 3.7.10, which was
5generated by GNU Autoconf 2.71.  Invocation command line was
6
7  $ ./configure --prefix=/opt/local --disable-hardware-acceleration --disable-dependency-tracking --disable-doc --disable-guile --disable-heartbeat-support --disable-libdane --disable-silent-rules --disable-static --enable-manpages --enable-openssl-compatibility --with-p11-kit --with-system-priority-file=/opt/local/etc/gnutls/default-priorities --with-default-trust-store-pkcs11=pkcs11: --without-brotli --without-zstd ac_cv_prog_AWK=/usr/bin/awk --host=x86_64-apple-darwin11 --build=x86_64-apple-darwin11
8
9## --------- ##
10## Platform. ##
11## --------- ##
12
13hostname = 192.168.32.128
14uname -m = x86_64
15uname -r = 11.4.2
16uname -s = Darwin
17uname -v = Darwin Kernel Version 11.4.2: Thu Aug 23 16:25:48 PDT 2012; root:xnu-1699.32.7~1/RELEASE_X86_64
18
19/usr/bin/uname -p = i386
20/bin/uname -X     = unknown
21
22/bin/arch              = unknown
23/usr/bin/arch -k       = unknown
24/usr/convex/getsysinfo = unknown
25/usr/bin/hostinfo      = Mach kernel version:
26         Darwin Kernel Version 11.4.2: Thu Aug 23 16:25:48 PDT 2012; root:xnu-1699.32.7~1/RELEASE_X86_64
27Kernel configured for a single processor only.
281 processor is physically available.
291 processor is logically available.
30Processor type: i486 (Intel 80486)
31Processor active: 0
32Primary memory available: 2.00 gigabytes
33Default processor set: 80 tasks, 343 threads, 1 processors
34Load average: 1.09, Mach factor: 0.56
35/bin/machine           = unknown
36/usr/bin/oslevel       = unknown
37/bin/universe          = unknown
38
39PATH: /opt/local/bin/
40PATH: /opt/local/sbin/
41PATH: /bin/
42PATH: /sbin/
43PATH: /usr/bin/
44PATH: /usr/sbin/
45
46
47## ----------- ##
48## Core tests. ##
49## ----------- ##
50
51configure:5489: looking for aux files: ltmain.sh config.rpath ar-lib compile missing install-sh config.guess config.sub
52configure:5502:  trying ./build-aux/
53configure:5531:   ./build-aux/ltmain.sh found
54configure:5531:   ./build-aux/config.rpath found
55configure:5531:   ./build-aux/ar-lib found
56configure:5531:   ./build-aux/compile found
57configure:5531:   ./build-aux/missing found
58configure:5513:   ./build-aux/install-sh found
59configure:5531:   ./build-aux/config.guess found
60configure:5531:   ./build-aux/config.sub found
61configure:5651: checking build system type
62configure:5666: result: x86_64-apple-darwin11
63configure:5686: checking host system type
64configure:5700: result: x86_64-apple-darwin11
65configure:5738: checking for a BSD-compatible install
66configure:5811: result: /usr/bin/install -c
67configure:5822: checking whether build environment is sane
68configure:5877: result: yes
69configure:6036: checking for a race-free mkdir -p
70configure:6080: result: ./build-aux/install-sh -c -d
71configure:6087: checking for gawk
72configure:6119: result: /usr/bin/awk
73configure:6130: checking whether make sets $(MAKE)
74configure:6153: result: yes
75configure:6183: checking whether make supports nested variables
76configure:6201: result: yes
77configure:6349: checking whether make supports nested variables
78configure:6367: result: yes
79configure:6381: result: ***
80*** Checking for compilation programs...
81
82configure:6399: checking for x86_64-apple-darwin11-pkg-config
83configure:6437: result: no
84configure:6447: checking for pkg-config
85configure:6470: found /opt/local/bin/pkg-config
86configure:6482: result: /opt/local/bin/pkg-config
87configure:6507: checking pkg-config is at least version 0.9.0
88configure:6510: result: yes
89configure:6535: checking for x86_64-apple-darwin11-gcc
90configure:6567: result: /opt/local/bin/clang-mp-16
91configure:6965: checking for C compiler version
92configure:6974: /opt/local/bin/clang-mp-16 --version >&5
932024-04-09 01:34:14.618 xcodebuild[1629:a03] CFPreferences: user home directory at file://localhost/opt/local/var/macports/home/ is unavailable. User domains will be volatile.
94
95You have not agreed to the Xcode license agreements, please run 'xcodebuild -license' (for user-level acceptance) or 'sudo xcodebuild -license' (for system-wide acceptance) from within a Terminal window to review and agree to the Xcode license agreements.
96configure:6985: $? = 69
97configure:6974: /opt/local/bin/clang-mp-16 -v >&5
982024-04-09 01:34:14.734 xcodebuild[1639:a03] CFPreferences: user home directory at file://localhost/opt/local/var/macports/home/ is unavailable. User domains will be volatile.
99
100You have not agreed to the Xcode license agreements, please run 'xcodebuild -license' (for user-level acceptance) or 'sudo xcodebuild -license' (for system-wide acceptance) from within a Terminal window to review and agree to the Xcode license agreements.
101configure:6985: $? = 69
102configure:6974: /opt/local/bin/clang-mp-16 -V >&5
1032024-04-09 01:34:14.812 xcodebuild[1646:a03] CFPreferences: user home directory at file://localhost/opt/local/var/macports/home/ is unavailable. User domains will be volatile.
104
105You have not agreed to the Xcode license agreements, please run 'xcodebuild -license' (for user-level acceptance) or 'sudo xcodebuild -license' (for system-wide acceptance) from within a Terminal window to review and agree to the Xcode license agreements.
106configure:6985: $? = 69
107configure:6974: /opt/local/bin/clang-mp-16 -qversion >&5
1082024-04-09 01:34:14.859 xcodebuild[1653:a03] CFPreferences: user home directory at file://localhost/opt/local/var/macports/home/ is unavailable. User domains will be volatile.
109
110You have not agreed to the Xcode license agreements, please run 'xcodebuild -license' (for user-level acceptance) or 'sudo xcodebuild -license' (for system-wide acceptance) from within a Terminal window to review and agree to the Xcode license agreements.
111configure:6985: $? = 69
112configure:6974: /opt/local/bin/clang-mp-16 -version >&5
1132024-04-09 01:34:14.901 xcodebuild[1660:a03] CFPreferences: user home directory at file://localhost/opt/local/var/macports/home/ is unavailable. User domains will be volatile.
114
115You have not agreed to the Xcode license agreements, please run 'xcodebuild -license' (for user-level acceptance) or 'sudo xcodebuild -license' (for system-wide acceptance) from within a Terminal window to review and agree to the Xcode license agreements.
116configure:6985: $? = 69
117configure:7005: checking whether the C compiler works
118configure:7027: /opt/local/bin/clang-mp-16 -pipe -Os -I/opt/local/include/LegacySupport -arch x86_64 -I/opt/local/include -I/opt/local/include/LegacySupport -L/opt/local/lib -Wl,-headerpad_max_install_names -lMacportsLegacySupport -arch x86_64 conftest.c  >&5
1192024-04-09 01:34:15.003 xcodebuild[1672:a03] CFPreferences: user home directory at file://localhost/opt/local/var/macports/home/ is unavailable. User domains will be volatile.
120
121You have not agreed to the Xcode license agreements, please run 'xcodebuild -license' (for user-level acceptance) or 'sudo xcodebuild -license' (for system-wide acceptance) from within a Terminal window to review and agree to the Xcode license agreements.
122configure:7031: $? = 69
123configure:7071: result: no
124configure: failed program was:
125| /* confdefs.h */
126| #define PACKAGE_NAME "GnuTLS"
127| #define PACKAGE_TARNAME "gnutls"
128| #define PACKAGE_VERSION "3.7.10"
129| #define PACKAGE_STRING "GnuTLS 3.7.10"
130| #define PACKAGE_BUGREPORT "bugs@gnutls.org"
131| #define PACKAGE_URL ""
132| #define PACKAGE "gnutls"
133| #define VERSION "3.7.10"
134| /* end confdefs.h.  */
135|
136| int
137| main (void)
138| {
139|
140|   ;
141|   return 0;
142| }
143configure:7076: error: in `/opt/local/var/macports/build/_opt_local_var_macports_sources_mirrors.tuna.tsinghua.edu.cn_macports_release_ports_devel_gnutls/gnutls/work/gnutls-3.7.10':
144configure:7078: error: C compiler cannot create executables
145See `config.log' for more details
146
147## ---------------- ##
148## Cache variables. ##
149## ---------------- ##
150
151ac_cv_build=x86_64-apple-darwin11
152ac_cv_env_CCASFLAGS_set=
153ac_cv_env_CCASFLAGS_value=
154ac_cv_env_CCAS_set=
155ac_cv_env_CCAS_value=
156ac_cv_env_CCC_set=
157ac_cv_env_CCC_value=
158ac_cv_env_CC_set=set
159ac_cv_env_CC_value=/opt/local/bin/clang-mp-16
160ac_cv_env_CFLAGS_set=set
161ac_cv_env_CFLAGS_value='-pipe -Os -I/opt/local/include/LegacySupport -arch x86_64'
162ac_cv_env_CMOCKA_CFLAGS_set=
163ac_cv_env_CMOCKA_CFLAGS_value=
164ac_cv_env_CMOCKA_LIBS_set=
165ac_cv_env_CMOCKA_LIBS_value=
166ac_cv_env_CPPFLAGS_set=set
167ac_cv_env_CPPFLAGS_value='-I/opt/local/include -I/opt/local/include/LegacySupport'
168ac_cv_env_CPP_set=
169ac_cv_env_CPP_value=
170ac_cv_env_CXXCPP_set=
171ac_cv_env_CXXCPP_value=
172ac_cv_env_CXXFLAGS_set=set
173ac_cv_env_CXXFLAGS_value='-pipe -Os -stdlib=libc++ -arch x86_64'
174ac_cv_env_CXX_set=set
175ac_cv_env_CXX_value=/opt/local/bin/clang++-mp-16
176ac_cv_env_GMP_CFLAGS_set=
177ac_cv_env_GMP_CFLAGS_value=
178ac_cv_env_GMP_LIBS_set=
179ac_cv_env_GMP_LIBS_value=
180ac_cv_env_GTKDOC_DEPS_CFLAGS_set=
181ac_cv_env_GTKDOC_DEPS_CFLAGS_value=
182ac_cv_env_GTKDOC_DEPS_LIBS_set=
183ac_cv_env_GTKDOC_DEPS_LIBS_value=
184ac_cv_env_GUILE_CFLAGS_set=
185ac_cv_env_GUILE_CFLAGS_value=
186ac_cv_env_GUILE_LIBS_set=
187ac_cv_env_GUILE_LIBS_value=
188ac_cv_env_HOGWEED_CFLAGS_set=
189ac_cv_env_HOGWEED_CFLAGS_value=
190ac_cv_env_HOGWEED_LIBS_set=
191ac_cv_env_HOGWEED_LIBS_value=
192ac_cv_env_LDFLAGS_set=set
193ac_cv_env_LDFLAGS_value='-L/opt/local/lib -Wl,-headerpad_max_install_names -lMacportsLegacySupport -arch x86_64'
194ac_cv_env_LIBBROTLIDEC_CFLAGS_set=
195ac_cv_env_LIBBROTLIDEC_CFLAGS_value=
196ac_cv_env_LIBBROTLIDEC_LIBS_set=
197ac_cv_env_LIBBROTLIDEC_LIBS_value=
198ac_cv_env_LIBBROTLIENC_CFLAGS_set=
199ac_cv_env_LIBBROTLIENC_CFLAGS_value=
200ac_cv_env_LIBBROTLIENC_LIBS_set=
201ac_cv_env_LIBBROTLIENC_LIBS_value=
202ac_cv_env_LIBIDN2_CFLAGS_set=
203ac_cv_env_LIBIDN2_CFLAGS_value=
204ac_cv_env_LIBIDN2_LIBS_set=
205ac_cv_env_LIBIDN2_LIBS_value=
206ac_cv_env_LIBKCAPI_CFLAGS_set=
207ac_cv_env_LIBKCAPI_CFLAGS_value=
208ac_cv_env_LIBKCAPI_LIBS_set=
209ac_cv_env_LIBKCAPI_LIBS_value=
210ac_cv_env_LIBS_set=
211ac_cv_env_LIBS_value=
212ac_cv_env_LIBTASN1_CFLAGS_set=
213ac_cv_env_LIBTASN1_CFLAGS_value=
214ac_cv_env_LIBTASN1_LIBS_set=
215ac_cv_env_LIBTASN1_LIBS_value=
216ac_cv_env_LIBZSTD_CFLAGS_set=
217ac_cv_env_LIBZSTD_CFLAGS_value=
218ac_cv_env_LIBZSTD_LIBS_set=
219ac_cv_env_LIBZSTD_LIBS_value=
220ac_cv_env_LT_SYS_LIBRARY_PATH_set=
221ac_cv_env_LT_SYS_LIBRARY_PATH_value=
222ac_cv_env_NETTLE_CFLAGS_set=
223ac_cv_env_NETTLE_CFLAGS_value=
224ac_cv_env_NETTLE_LIBS_set=
225ac_cv_env_NETTLE_LIBS_value=
226ac_cv_env_P11_KIT_CFLAGS_set=
227ac_cv_env_P11_KIT_CFLAGS_value=
228ac_cv_env_P11_KIT_LIBS_set=
229ac_cv_env_P11_KIT_LIBS_value=
230ac_cv_env_PKG_CONFIG_LIBDIR_set=
231ac_cv_env_PKG_CONFIG_LIBDIR_value=
232ac_cv_env_PKG_CONFIG_PATH_set=
233ac_cv_env_PKG_CONFIG_PATH_value=
234ac_cv_env_PKG_CONFIG_set=
235ac_cv_env_PKG_CONFIG_value=
236ac_cv_env_PYTHON_set=
237ac_cv_env_PYTHON_value=
238ac_cv_env_TSS2_CFLAGS_set=
239ac_cv_env_TSS2_CFLAGS_value=
240ac_cv_env_TSS2_LIBS_set=
241ac_cv_env_TSS2_LIBS_value=
242ac_cv_env_VALGRINDFLAGS_set=
243ac_cv_env_VALGRINDFLAGS_value=
244ac_cv_env_YACC_set=
245ac_cv_env_YACC_value=
246ac_cv_env_YFLAGS_set=
247ac_cv_env_YFLAGS_value=
248ac_cv_env_build_alias_set=set
249ac_cv_env_build_alias_value=x86_64-apple-darwin11
250ac_cv_env_host_alias_set=set
251ac_cv_env_host_alias_value=x86_64-apple-darwin11
252ac_cv_env_target_alias_set=
253ac_cv_env_target_alias_value=
254ac_cv_host=x86_64-apple-darwin11
255ac_cv_path_ac_pt_PKG_CONFIG=/opt/local/bin/pkg-config
256ac_cv_prog_AWK=/usr/bin/awk
257ac_cv_prog_CC=/opt/local/bin/clang-mp-16
258ac_cv_prog_make_make_set=yes
259am_cv_make_support_nested_variables=yes
260
261## ----------------- ##
262## Output variables. ##
263## ----------------- ##
264
265AARCH64_CCASFLAGS=''
266ACLOCAL='${SHELL} '\''/opt/local/var/macports/build/_opt_local_var_macports_sources_mirrors.tuna.tsinghua.edu.cn_macports_release_ports_devel_gnutls/gnutls/work/gnutls-3.7.10/build-aux/missing'\'' aclocal-1.16'
267ALLOCA=''
268ALLOCA_H=''
269ALLOW_SHA1_FALSE=''
270ALLOW_SHA1_TRUE=''
271AMDEPBACKSLASH=''
272AMDEP_FALSE=''
273AMDEP_TRUE=''
274AMTAR='$${TAR-tar}'
275AM_BACKSLASH='\'
276AM_DEFAULT_V='$(AM_DEFAULT_VERBOSITY)'
277AM_DEFAULT_VERBOSITY='1'
278AM_V='$(V)'
279AM_VALGRINDFLAGS=''
280ANDROID_FALSE=''
281ANDROID_TRUE=''
282APPLE_UNIVERSAL_BUILD=''
283AR=''
284ARFLAGS=''
285ASM_AARCH64_FALSE=''
286ASM_AARCH64_TRUE=''
287ASM_X86_32_FALSE=''
288ASM_X86_32_TRUE=''
289ASM_X86_64_FALSE=''
290ASM_X86_64_TRUE=''
291ASM_X86_FALSE=''
292ASM_X86_TRUE=''
293ASN1PARSER=''
294AUTOCONF='${SHELL} '\''/opt/local/var/macports/build/_opt_local_var_macports_sources_mirrors.tuna.tsinghua.edu.cn_macports_release_ports_devel_gnutls/gnutls/work/gnutls-3.7.10/build-aux/missing'\'' autoconf'
295AUTOHEADER='${SHELL} '\''/opt/local/var/macports/build/_opt_local_var_macports_sources_mirrors.tuna.tsinghua.edu.cn_macports_release_ports_devel_gnutls/gnutls/work/gnutls-3.7.10/build-aux/missing'\'' autoheader'
296AUTOMAKE='${SHELL} '\''/opt/local/var/macports/build/_opt_local_var_macports_sources_mirrors.tuna.tsinghua.edu.cn_macports_release_ports_devel_gnutls/gnutls/work/gnutls-3.7.10/build-aux/missing'\'' automake-1.16'
297AWK='/usr/bin/awk'
298BITSIZEOF_PTRDIFF_T=''
299BITSIZEOF_SIG_ATOMIC_T=''
300BITSIZEOF_SIZE_T=''
301BITSIZEOF_WCHAR_T=''
302BITSIZEOF_WINT_T=''
303BYTESWAP_H=''
304CC='/opt/local/bin/clang-mp-16'
305CCAS=''
306CCASDEPMODE=''
307CCASFLAGS=''
308CCDEPMODE=''
309CFLAGS='-pipe -Os -I/opt/local/include/LegacySupport -arch x86_64'
310CFLAG_VISIBILITY=''
311CMOCKA_CFLAGS=''
312CMOCKA_LIBS=''
313CODE_COVERAGE_CFLAGS=''
314CODE_COVERAGE_CPPFLAGS=''
315CODE_COVERAGE_CXXFLAGS=''
316CODE_COVERAGE_ENABLED=''
317CODE_COVERAGE_ENABLED_FALSE=''
318CODE_COVERAGE_ENABLED_TRUE=''
319CODE_COVERAGE_LIBS=''
320CONFIG_INCLUDE=''
321CPP=''
322CPPFLAGS='-I/opt/local/include -I/opt/local/include/LegacySupport'
323CROSS_COMPILING_FALSE=''
324CROSS_COMPILING_TRUE=''
325CRYWRAP_PATCHLEVEL=''
326CSCOPE='cscope'
327CTAGS='ctags'
328CXX='/opt/local/bin/clang++-mp-16'
329CXXCPP=''
330CXXDEPMODE=''
331CXXFLAGS='-pipe -Os -stdlib=libc++ -arch x86_64'
332CXX_LT_AGE=''
333CXX_LT_CURRENT=''
334CXX_LT_REVISION=''
335CYGPATH_W='echo'
336DEFAULT_VALGRINDFLAGS=''
337DEFINE_IOVEC_T=''
338DEFS=''
339DEPDIR=''
340DISABLE_BASH_TESTS_FALSE=''
341DISABLE_BASH_TESTS_TRUE=''
342DISABLE_SYSTEM_CONFIG_FALSE=''
343DISABLE_SYSTEM_CONFIG_TRUE=''
344DLLTOOL=''
345DLL_SSL_VERSION=''
346DLL_VERSION=''
347DSYMUTIL=''
348DUMPBIN=''
349ECHO_C='\c'
350ECHO_N=''
351ECHO_T=''
352EGREP=''
353ELF_FALSE=''
354ELF_TRUE=''
355EMULTIHOP_HIDDEN=''
356EMULTIHOP_VALUE=''
357ENABLE_AFALG_FALSE=''
358ENABLE_AFALG_TRUE=''
359ENABLE_ALPN_FALSE=''
360ENABLE_ALPN_TRUE=''
361ENABLE_ANON_FALSE=''
362ENABLE_ANON_TRUE=''
363ENABLE_CXX_FALSE=''
364ENABLE_CXX_TRUE=''
365ENABLE_DANE_FALSE=''
366ENABLE_DANE_TRUE=''
367ENABLE_DHE_FALSE=''
368ENABLE_DHE_TRUE=''
369ENABLE_DOC_FALSE=''
370ENABLE_DOC_TRUE=''
371ENABLE_DTLS_SRTP_FALSE=''
372ENABLE_DTLS_SRTP_TRUE=''
373ENABLE_ECDHE_FALSE=''
374ENABLE_ECDHE_TRUE=''
375ENABLE_FIPS140_FALSE=''
376ENABLE_FIPS140_TRUE=''
377ENABLE_GOST_FALSE=''
378ENABLE_GOST_TRUE=''
379ENABLE_GTK_DOC_FALSE=''
380ENABLE_GTK_DOC_TRUE=''
381ENABLE_HEARTBEAT_FALSE=''
382ENABLE_HEARTBEAT_TRUE=''
383ENABLE_KTLS_FALSE=''
384ENABLE_KTLS_TRUE=''
385ENABLE_MANPAGES_FALSE=''
386ENABLE_MANPAGES_TRUE=''
387ENABLE_MINITASN1_FALSE=''
388ENABLE_MINITASN1_TRUE=''
389ENABLE_NETTLE_FALSE=''
390ENABLE_NETTLE_TRUE=''
391ENABLE_NON_SUITEB_CURVES_FALSE=''
392ENABLE_NON_SUITEB_CURVES_TRUE=''
393ENABLE_OCSP_FALSE=''
394ENABLE_OCSP_TRUE=''
395ENABLE_OLDGNUTLS_INTEROP_FALSE=''
396ENABLE_OLDGNUTLS_INTEROP_TRUE=''
397ENABLE_OPENSSL_FALSE=''
398ENABLE_OPENSSL_TRUE=''
399ENABLE_PADLOCK=''
400ENABLE_PADLOCK_FALSE=''
401ENABLE_PADLOCK_TRUE=''
402ENABLE_PKCS11_FALSE=''
403ENABLE_PKCS11_TRUE=''
404ENABLE_PSK_FALSE=''
405ENABLE_PSK_TRUE=''
406ENABLE_SRP_FALSE=''
407ENABLE_SRP_TRUE=''
408ENABLE_SSL2_FALSE=''
409ENABLE_SSL2_TRUE=''
410ENABLE_SSL3_FALSE=''
411ENABLE_SSL3_TRUE=''
412ENABLE_TESTS_FALSE=''
413ENABLE_TESTS_TRUE=''
414ENABLE_TLS13_INTEROP_FALSE=''
415ENABLE_TLS13_INTEROP_TRUE=''
416ENABLE_TOOLS_FALSE=''
417ENABLE_TOOLS_TRUE=''
418ENABLE_TPM2_FALSE=''
419ENABLE_TPM2_TRUE=''
420ENABLE_TROUSERS_FALSE=''
421ENABLE_TROUSERS_TRUE=''
422ENOLINK_HIDDEN=''
423ENOLINK_VALUE=''
424EOVERFLOW_HIDDEN=''
425EOVERFLOW_VALUE=''
426ERRNO_H=''
427ETAGS='etags'
428EXEEXT=''
429FGREP=''
430FILECMD=''
431FIPS140_LIBS=''
432FLOAT_H=''
433GCOV=''
434GENHTML=''
435GETADDRINFO_LIB=''
436GETTEXT_MACRO_VERSION=''
437GL_COND_LIBTOOL_FALSE=''
438GL_COND_LIBTOOL_TRUE=''
439GL_GENERATE_ALLOCA_H_FALSE=''
440GL_GENERATE_ALLOCA_H_TRUE=''
441GL_GENERATE_BYTESWAP_H_FALSE=''
442GL_GENERATE_BYTESWAP_H_TRUE=''
443GL_GENERATE_ERRNO_H_FALSE=''
444GL_GENERATE_ERRNO_H_TRUE=''
445GL_GENERATE_FLOAT_H_FALSE=''
446GL_GENERATE_FLOAT_H_TRUE=''
447GL_GENERATE_LIMITS_H_FALSE=''
448GL_GENERATE_LIMITS_H_TRUE=''
449GL_GENERATE_NETINET_IN_H_FALSE=''
450GL_GENERATE_NETINET_IN_H_TRUE=''
451GL_GENERATE_STDALIGN_H_FALSE=''
452GL_GENERATE_STDALIGN_H_TRUE=''
453GL_GENERATE_STDBOOL_H_FALSE=''
454GL_GENERATE_STDBOOL_H_TRUE=''
455GL_GENERATE_STDDEF_H_FALSE=''
456GL_GENERATE_STDDEF_H_TRUE=''
457GL_GENERATE_STDINT_H_FALSE=''
458GL_GENERATE_STDINT_H_TRUE=''
459GL_GGL_GNULIB_ACCEPT4=''
460GL_GGL_GNULIB_ACCEPT=''
461GL_GGL_GNULIB_ACCESS=''
462GL_GGL_GNULIB_ALIGNED_ALLOC=''
463GL_GGL_GNULIB_ATOLL=''
464GL_GGL_GNULIB_BIND=''
465GL_GGL_GNULIB_BTOWC=''
466GL_GGL_GNULIB_CALLOC_POSIX=''
467GL_GGL_GNULIB_CANONICALIZE_FILE_NAME=''
468GL_GGL_GNULIB_CHDIR=''
469GL_GGL_GNULIB_CHOWN=''
470GL_GGL_GNULIB_CLOSE=''
471GL_GGL_GNULIB_CONNECT=''
472GL_GGL_GNULIB_COPY_FILE_RANGE=''
473GL_GGL_GNULIB_CREAT=''
474GL_GGL_GNULIB_CTIME=''
475GL_GGL_GNULIB_DPRINTF=''
476GL_GGL_GNULIB_DUP2=''
477GL_GGL_GNULIB_DUP3=''
478GL_GGL_GNULIB_DUP=''
479GL_GGL_GNULIB_DUPLOCALE=''
480GL_GGL_GNULIB_ENVIRON=''
481GL_GGL_GNULIB_EUIDACCESS=''
482GL_GGL_GNULIB_EXECL=''
483GL_GGL_GNULIB_EXECLE=''
484GL_GGL_GNULIB_EXECLP=''
485GL_GGL_GNULIB_EXECV=''
486GL_GGL_GNULIB_EXECVE=''
487GL_GGL_GNULIB_EXECVP=''
488GL_GGL_GNULIB_EXECVPE=''
489GL_GGL_GNULIB_EXPLICIT_BZERO=''
490GL_GGL_GNULIB_FACCESSAT=''
491GL_GGL_GNULIB_FCHDIR=''
492GL_GGL_GNULIB_FCHMODAT=''
493GL_GGL_GNULIB_FCHOWNAT=''
494GL_GGL_GNULIB_FCLOSE=''
495GL_GGL_GNULIB_FCNTL=''
496GL_GGL_GNULIB_FDATASYNC=''
497GL_GGL_GNULIB_FDOPEN=''
498GL_GGL_GNULIB_FFLUSH=''
499GL_GGL_GNULIB_FFS=''
500GL_GGL_GNULIB_FFSL=''
501GL_GGL_GNULIB_FFSLL=''
502GL_GGL_GNULIB_FGETC=''
503GL_GGL_GNULIB_FGETS=''
504GL_GGL_GNULIB_FOPEN=''
505GL_GGL_GNULIB_FPRINTF=''
506GL_GGL_GNULIB_FPRINTF_POSIX=''
507GL_GGL_GNULIB_FPURGE=''
508GL_GGL_GNULIB_FPUTC=''
509GL_GGL_GNULIB_FPUTS=''
510GL_GGL_GNULIB_FREAD=''
511GL_GGL_GNULIB_FREE_POSIX=''
512GL_GGL_GNULIB_FREOPEN=''
513GL_GGL_GNULIB_FSCANF=''
514GL_GGL_GNULIB_FSEEK=''
515GL_GGL_GNULIB_FSEEKO=''
516GL_GGL_GNULIB_FSTAT=''
517GL_GGL_GNULIB_FSTATAT=''
518GL_GGL_GNULIB_FSYNC=''
519GL_GGL_GNULIB_FTELL=''
520GL_GGL_GNULIB_FTELLO=''
521GL_GGL_GNULIB_FTRUNCATE=''
522GL_GGL_GNULIB_FUTIMENS=''
523GL_GGL_GNULIB_FWRITE=''
524GL_GGL_GNULIB_GETADDRINFO=''
525GL_GGL_GNULIB_GETC=''
526GL_GGL_GNULIB_GETCHAR=''
527GL_GGL_GNULIB_GETCWD=''
528GL_GGL_GNULIB_GETDELIM=''
529GL_GGL_GNULIB_GETDOMAINNAME=''
530GL_GGL_GNULIB_GETDTABLESIZE=''
531GL_GGL_GNULIB_GETENTROPY=''
532GL_GGL_GNULIB_GETGROUPS=''
533GL_GGL_GNULIB_GETHOSTNAME=''
534GL_GGL_GNULIB_GETLINE=''
535GL_GGL_GNULIB_GETLOADAVG=''
536GL_GGL_GNULIB_GETLOGIN=''
537GL_GGL_GNULIB_GETLOGIN_R=''
538GL_GGL_GNULIB_GETOPT_POSIX=''
539GL_GGL_GNULIB_GETPAGESIZE=''
540GL_GGL_GNULIB_GETPASS=''
541GL_GGL_GNULIB_GETPEERNAME=''
542GL_GGL_GNULIB_GETSOCKNAME=''
543GL_GGL_GNULIB_GETSOCKOPT=''
544GL_GGL_GNULIB_GETSUBOPT=''
545GL_GGL_GNULIB_GETTIMEOFDAY=''
546GL_GGL_GNULIB_GETUMASK=''
547GL_GGL_GNULIB_GETUSERSHELL=''
548GL_GGL_GNULIB_GRANTPT=''
549GL_GGL_GNULIB_GROUP_MEMBER=''
550GL_GGL_GNULIB_IMAXABS=''
551GL_GGL_GNULIB_IMAXDIV=''
552GL_GGL_GNULIB_INET_NTOP=''
553GL_GGL_GNULIB_INET_PTON=''
554GL_GGL_GNULIB_IOCTL=''
555GL_GGL_GNULIB_ISATTY=''
556GL_GGL_GNULIB_ISBLANK=''
557GL_GGL_GNULIB_LCHMOD=''
558GL_GGL_GNULIB_LCHOWN=''
559GL_GGL_GNULIB_LINK=''
560GL_GGL_GNULIB_LINKAT=''
561GL_GGL_GNULIB_LISTEN=''
562GL_GGL_GNULIB_LOCALECONV=''
563GL_GGL_GNULIB_LOCALENAME=''
564GL_GGL_GNULIB_LOCALTIME=''
565GL_GGL_GNULIB_LSEEK=''
566GL_GGL_GNULIB_LSTAT=''
567GL_GGL_GNULIB_MALLOC_POSIX=''
568GL_GGL_GNULIB_MBRLEN=''
569GL_GGL_GNULIB_MBRTOWC=''
570GL_GGL_GNULIB_MBSCASECMP=''
571GL_GGL_GNULIB_MBSCASESTR=''
572GL_GGL_GNULIB_MBSCHR=''
573GL_GGL_GNULIB_MBSCSPN=''
574GL_GGL_GNULIB_MBSINIT=''
575GL_GGL_GNULIB_MBSLEN=''
576GL_GGL_GNULIB_MBSNCASECMP=''
577GL_GGL_GNULIB_MBSNLEN=''
578GL_GGL_GNULIB_MBSNRTOWCS=''
579GL_GGL_GNULIB_MBSPBRK=''
580GL_GGL_GNULIB_MBSPCASECMP=''
581GL_GGL_GNULIB_MBSRCHR=''
582GL_GGL_GNULIB_MBSRTOWCS=''
583GL_GGL_GNULIB_MBSSEP=''
584GL_GGL_GNULIB_MBSSPN=''
585GL_GGL_GNULIB_MBSSTR=''
586GL_GGL_GNULIB_MBSTOK_R=''
587GL_GGL_GNULIB_MBTOWC=''
588GL_GGL_GNULIB_MDA_ACCESS=''
589GL_GGL_GNULIB_MDA_CHDIR=''
590GL_GGL_GNULIB_MDA_CHMOD=''
591GL_GGL_GNULIB_MDA_CLOSE=''
592GL_GGL_GNULIB_MDA_CREAT=''
593GL_GGL_GNULIB_MDA_DUP2=''
594GL_GGL_GNULIB_MDA_DUP=''
595GL_GGL_GNULIB_MDA_ECVT=''
596GL_GGL_GNULIB_MDA_EXECL=''
597GL_GGL_GNULIB_MDA_EXECLE=''
598GL_GGL_GNULIB_MDA_EXECLP=''
599GL_GGL_GNULIB_MDA_EXECV=''
600GL_GGL_GNULIB_MDA_EXECVE=''
601GL_GGL_GNULIB_MDA_EXECVP=''
602GL_GGL_GNULIB_MDA_EXECVPE=''
603GL_GGL_GNULIB_MDA_FCLOSEALL=''
604GL_GGL_GNULIB_MDA_FCVT=''
605GL_GGL_GNULIB_MDA_FDOPEN=''
606GL_GGL_GNULIB_MDA_FILENO=''
607GL_GGL_GNULIB_MDA_GCVT=''
608GL_GGL_GNULIB_MDA_GETCWD=''
609GL_GGL_GNULIB_MDA_GETPID=''
610GL_GGL_GNULIB_MDA_GETW=''
611GL_GGL_GNULIB_MDA_ISATTY=''
612GL_GGL_GNULIB_MDA_LSEEK=''
613GL_GGL_GNULIB_MDA_MEMCCPY=''
614GL_GGL_GNULIB_MDA_MKDIR=''
615GL_GGL_GNULIB_MDA_MKTEMP=''
616GL_GGL_GNULIB_MDA_OPEN=''
617GL_GGL_GNULIB_MDA_PUTENV=''
618GL_GGL_GNULIB_MDA_PUTW=''
619GL_GGL_GNULIB_MDA_READ=''
620GL_GGL_GNULIB_MDA_RMDIR=''
621GL_GGL_GNULIB_MDA_STRDUP=''
622GL_GGL_GNULIB_MDA_SWAB=''
623GL_GGL_GNULIB_MDA_TEMPNAM=''
624GL_GGL_GNULIB_MDA_TZSET=''
625GL_GGL_GNULIB_MDA_UMASK=''
626GL_GGL_GNULIB_MDA_UNLINK=''
627GL_GGL_GNULIB_MDA_WCSDUP=''
628GL_GGL_GNULIB_MDA_WRITE=''
629GL_GGL_GNULIB_MEMCHR=''
630GL_GGL_GNULIB_MEMMEM=''
631GL_GGL_GNULIB_MEMPCPY=''
632GL_GGL_GNULIB_MEMRCHR=''
633GL_GGL_GNULIB_MKDIR=''
634GL_GGL_GNULIB_MKDIRAT=''
635GL_GGL_GNULIB_MKDTEMP=''
636GL_GGL_GNULIB_MKFIFO=''
637GL_GGL_GNULIB_MKFIFOAT=''
638GL_GGL_GNULIB_MKNOD=''
639GL_GGL_GNULIB_MKNODAT=''
640GL_GGL_GNULIB_MKOSTEMP=''
641GL_GGL_GNULIB_MKOSTEMPS=''
642GL_GGL_GNULIB_MKSTEMP=''
643GL_GGL_GNULIB_MKSTEMPS=''
644GL_GGL_GNULIB_MKTIME=''
645GL_GGL_GNULIB_NANOSLEEP=''
646GL_GGL_GNULIB_NL_LANGINFO=''
647GL_GGL_GNULIB_NONBLOCKING=''
648GL_GGL_GNULIB_OBSTACK_PRINTF=''
649GL_GGL_GNULIB_OBSTACK_PRINTF_POSIX=''
650GL_GGL_GNULIB_OPEN=''
651GL_GGL_GNULIB_OPENAT=''
652GL_GGL_GNULIB_OVERRIDES_STRUCT_STAT=''
653GL_GGL_GNULIB_PCLOSE=''
654GL_GGL_GNULIB_PERROR=''
655GL_GGL_GNULIB_PIPE2=''
656GL_GGL_GNULIB_PIPE=''
657GL_GGL_GNULIB_POPEN=''
658GL_GGL_GNULIB_POSIX_MEMALIGN=''
659GL_GGL_GNULIB_POSIX_OPENPT=''
660GL_GGL_GNULIB_PREAD=''
661GL_GGL_GNULIB_PRINTF=''
662GL_GGL_GNULIB_PRINTF_POSIX=''
663GL_GGL_GNULIB_PSELECT=''
664GL_GGL_GNULIB_PTHREAD_COND=''
665GL_GGL_GNULIB_PTHREAD_MUTEX=''
666GL_GGL_GNULIB_PTHREAD_MUTEX_TIMEDLOCK=''
667GL_GGL_GNULIB_PTHREAD_ONCE=''
668GL_GGL_GNULIB_PTHREAD_RWLOCK=''
669GL_GGL_GNULIB_PTHREAD_SIGMASK=''
670GL_GGL_GNULIB_PTHREAD_SPIN=''
671GL_GGL_GNULIB_PTHREAD_THREAD=''
672GL_GGL_GNULIB_PTHREAD_TSS=''
673GL_GGL_GNULIB_PTSNAME=''
674GL_GGL_GNULIB_PTSNAME_R=''
675GL_GGL_GNULIB_PUTC=''
676GL_GGL_GNULIB_PUTCHAR=''
677GL_GGL_GNULIB_PUTENV=''
678GL_GGL_GNULIB_PUTS=''
679GL_GGL_GNULIB_PWRITE=''
680GL_GGL_GNULIB_QSORT_R=''
681GL_GGL_GNULIB_RAISE=''
682GL_GGL_GNULIB_RANDOM=''
683GL_GGL_GNULIB_RANDOM_R=''
684GL_GGL_GNULIB_RAWMEMCHR=''
685GL_GGL_GNULIB_READ=''
686GL_GGL_GNULIB_READLINK=''
687GL_GGL_GNULIB_READLINKAT=''
688GL_GGL_GNULIB_REALLOCARRAY=''
689GL_GGL_GNULIB_REALLOC_POSIX=''
690GL_GGL_GNULIB_REALPATH=''
691GL_GGL_GNULIB_RECV=''
692GL_GGL_GNULIB_RECVFROM=''
693GL_GGL_GNULIB_REMOVE=''
694GL_GGL_GNULIB_RENAME=''
695GL_GGL_GNULIB_RENAMEAT=''
696GL_GGL_GNULIB_RMDIR=''
697GL_GGL_GNULIB_RPMATCH=''
698GL_GGL_GNULIB_SCANF=''
699GL_GGL_GNULIB_SCHED_YIELD=''
700GL_GGL_GNULIB_SECURE_GETENV=''
701GL_GGL_GNULIB_SELECT=''
702GL_GGL_GNULIB_SEND=''
703GL_GGL_GNULIB_SENDTO=''
704GL_GGL_GNULIB_SETENV=''
705GL_GGL_GNULIB_SETHOSTNAME=''
706GL_GGL_GNULIB_SETLOCALE=''
707GL_GGL_GNULIB_SETLOCALE_NULL=''
708GL_GGL_GNULIB_SETSOCKOPT=''
709GL_GGL_GNULIB_SHUTDOWN=''
710GL_GGL_GNULIB_SIGABBREV_NP=''
711GL_GGL_GNULIB_SIGACTION=''
712GL_GGL_GNULIB_SIGDESCR_NP=''
713GL_GGL_GNULIB_SIGNAL_H_SIGPIPE=''
714GL_GGL_GNULIB_SIGPROCMASK=''
715GL_GGL_GNULIB_SLEEP=''
716GL_GGL_GNULIB_SNPRINTF=''
717GL_GGL_GNULIB_SOCKET=''
718GL_GGL_GNULIB_SPRINTF_POSIX=''
719GL_GGL_GNULIB_STAT=''
720GL_GGL_GNULIB_STDIO_H_NONBLOCKING=''
721GL_GGL_GNULIB_STDIO_H_SIGPIPE=''
722GL_GGL_GNULIB_STPCPY=''
723GL_GGL_GNULIB_STPNCPY=''
724GL_GGL_GNULIB_STRCASESTR=''
725GL_GGL_GNULIB_STRCHRNUL=''
726GL_GGL_GNULIB_STRDUP=''
727GL_GGL_GNULIB_STRERROR=''
728GL_GGL_GNULIB_STRERRORNAME_NP=''
729GL_GGL_GNULIB_STRERROR_R=''
730GL_GGL_GNULIB_STRFTIME=''
731GL_GGL_GNULIB_STRNCAT=''
732GL_GGL_GNULIB_STRNDUP=''
733GL_GGL_GNULIB_STRNLEN=''
734GL_GGL_GNULIB_STRPBRK=''
735GL_GGL_GNULIB_STRPTIME=''
736GL_GGL_GNULIB_STRSEP=''
737GL_GGL_GNULIB_STRSIGNAL=''
738GL_GGL_GNULIB_STRSTR=''
739GL_GGL_GNULIB_STRTOD=''
740GL_GGL_GNULIB_STRTOIMAX=''
741GL_GGL_GNULIB_STRTOK_R=''
742GL_GGL_GNULIB_STRTOL=''
743GL_GGL_GNULIB_STRTOLD=''
744GL_GGL_GNULIB_STRTOLL=''
745GL_GGL_GNULIB_STRTOUL=''
746GL_GGL_GNULIB_STRTOULL=''
747GL_GGL_GNULIB_STRTOUMAX=''
748GL_GGL_GNULIB_STRVERSCMP=''
749GL_GGL_GNULIB_SYMLINK=''
750GL_GGL_GNULIB_SYMLINKAT=''
751GL_GGL_GNULIB_SYSTEM_POSIX=''
752GL_GGL_GNULIB_TIMEGM=''
753GL_GGL_GNULIB_TIMESPEC_GET=''
754GL_GGL_GNULIB_TIME_R=''
755GL_GGL_GNULIB_TIME_RZ=''
756GL_GGL_GNULIB_TMPFILE=''
757GL_GGL_GNULIB_TRUNCATE=''
758GL_GGL_GNULIB_TTYNAME_R=''
759GL_GGL_GNULIB_TZSET=''
760GL_GGL_GNULIB_UNISTD_H_NONBLOCKING=''
761GL_GGL_GNULIB_UNISTD_H_SIGPIPE=''
762GL_GGL_GNULIB_UNLINK=''
763GL_GGL_GNULIB_UNLINKAT=''
764GL_GGL_GNULIB_UNLOCKPT=''
765GL_GGL_GNULIB_UNSETENV=''
766GL_GGL_GNULIB_USLEEP=''
767GL_GGL_GNULIB_UTIMENSAT=''
768GL_GGL_GNULIB_VASPRINTF=''
769GL_GGL_GNULIB_VDPRINTF=''
770GL_GGL_GNULIB_VFPRINTF=''
771GL_GGL_GNULIB_VFPRINTF_POSIX=''
772GL_GGL_GNULIB_VFSCANF=''
773GL_GGL_GNULIB_VPRINTF=''
774GL_GGL_GNULIB_VPRINTF_POSIX=''
775GL_GGL_GNULIB_VSCANF=''
776GL_GGL_GNULIB_VSNPRINTF=''
777GL_GGL_GNULIB_VSPRINTF_POSIX=''
778GL_GGL_GNULIB_WCPCPY=''
779GL_GGL_GNULIB_WCPNCPY=''
780GL_GGL_GNULIB_WCRTOMB=''
781GL_GGL_GNULIB_WCSCASECMP=''
782GL_GGL_GNULIB_WCSCAT=''
783GL_GGL_GNULIB_WCSCHR=''
784GL_GGL_GNULIB_WCSCMP=''
785GL_GGL_GNULIB_WCSCOLL=''
786GL_GGL_GNULIB_WCSCPY=''
787GL_GGL_GNULIB_WCSCSPN=''
788GL_GGL_GNULIB_WCSDUP=''
789GL_GGL_GNULIB_WCSFTIME=''
790GL_GGL_GNULIB_WCSLEN=''
791GL_GGL_GNULIB_WCSNCASECMP=''
792GL_GGL_GNULIB_WCSNCAT=''
793GL_GGL_GNULIB_WCSNCMP=''
794GL_GGL_GNULIB_WCSNCPY=''
795GL_GGL_GNULIB_WCSNLEN=''
796GL_GGL_GNULIB_WCSNRTOMBS=''
797GL_GGL_GNULIB_WCSPBRK=''
798GL_GGL_GNULIB_WCSRCHR=''
799GL_GGL_GNULIB_WCSRTOMBS=''
800GL_GGL_GNULIB_WCSSPN=''
801GL_GGL_GNULIB_WCSSTR=''
802GL_GGL_GNULIB_WCSTOK=''
803GL_GGL_GNULIB_WCSWIDTH=''
804GL_GGL_GNULIB_WCSXFRM=''
805GL_GGL_GNULIB_WCTOB=''
806GL_GGL_GNULIB_WCTOMB=''
807GL_GGL_GNULIB_WCWIDTH=''
808GL_GGL_GNULIB_WMEMCHR=''
809GL_GGL_GNULIB_WMEMCMP=''
810GL_GGL_GNULIB_WMEMCPY=''
811GL_GGL_GNULIB_WMEMMOVE=''
812GL_GGL_GNULIB_WMEMPCPY=''
813GL_GGL_GNULIB_WMEMSET=''
814GL_GGL_GNULIB_WRITE=''
815GL_GGL_GNULIB__EXIT=''
816GL_GNULIB_ACCEPT4=''
817GL_GNULIB_ACCEPT=''
818GL_GNULIB_ACCESS=''
819GL_GNULIB_ALIGNED_ALLOC=''
820GL_GNULIB_ATOLL=''
821GL_GNULIB_BIND=''
822GL_GNULIB_BTOWC=''
823GL_GNULIB_CALLOC_POSIX=''
824GL_GNULIB_CANONICALIZE_FILE_NAME=''
825GL_GNULIB_CHDIR=''
826GL_GNULIB_CHOWN=''
827GL_GNULIB_CLOSE=''
828GL_GNULIB_CONNECT=''
829GL_GNULIB_COPY_FILE_RANGE=''
830GL_GNULIB_CREAT=''
831GL_GNULIB_CTIME=''
832GL_GNULIB_DPRINTF=''
833GL_GNULIB_DUP2=''
834GL_GNULIB_DUP3=''
835GL_GNULIB_DUP=''
836GL_GNULIB_ENVIRON=''
837GL_GNULIB_EUIDACCESS=''
838GL_GNULIB_EXECL=''
839GL_GNULIB_EXECLE=''
840GL_GNULIB_EXECLP=''
841GL_GNULIB_EXECV=''
842GL_GNULIB_EXECVE=''
843GL_GNULIB_EXECVP=''
844GL_GNULIB_EXECVPE=''
845GL_GNULIB_EXPLICIT_BZERO=''
846GL_GNULIB_FACCESSAT=''
847GL_GNULIB_FCHDIR=''
848GL_GNULIB_FCHMODAT=''
849GL_GNULIB_FCHOWNAT=''
850GL_GNULIB_FCLOSE=''
851GL_GNULIB_FCNTL=''
852GL_GNULIB_FDATASYNC=''
853GL_GNULIB_FDOPEN=''
854GL_GNULIB_FFLUSH=''
855GL_GNULIB_FFS=''
856GL_GNULIB_FFSL=''
857GL_GNULIB_FFSLL=''
858GL_GNULIB_FGETC=''
859GL_GNULIB_FGETS=''
860GL_GNULIB_FOPEN=''
861GL_GNULIB_FPRINTF=''
862GL_GNULIB_FPRINTF_POSIX=''
863GL_GNULIB_FPURGE=''
864GL_GNULIB_FPUTC=''
865GL_GNULIB_FPUTS=''
866GL_GNULIB_FREAD=''
867GL_GNULIB_FREE_POSIX=''
868GL_GNULIB_FREOPEN=''
869GL_GNULIB_FSCANF=''
870GL_GNULIB_FSEEK=''
871GL_GNULIB_FSEEKO=''
872GL_GNULIB_FSTAT=''
873GL_GNULIB_FSTATAT=''
874GL_GNULIB_FSYNC=''
875GL_GNULIB_FTELL=''
876GL_GNULIB_FTELLO=''
877GL_GNULIB_FTRUNCATE=''
878GL_GNULIB_FUTIMENS=''
879GL_GNULIB_FWRITE=''
880GL_GNULIB_GETADDRINFO=''
881GL_GNULIB_GETC=''
882GL_GNULIB_GETCHAR=''
883GL_GNULIB_GETCWD=''
884GL_GNULIB_GETDELIM=''
885GL_GNULIB_GETDOMAINNAME=''
886GL_GNULIB_GETDTABLESIZE=''
887GL_GNULIB_GETENTROPY=''
888GL_GNULIB_GETGROUPS=''
889GL_GNULIB_GETHOSTNAME=''
890GL_GNULIB_GETLINE=''
891GL_GNULIB_GETLOADAVG=''
892GL_GNULIB_GETLOGIN=''
893GL_GNULIB_GETLOGIN_R=''
894GL_GNULIB_GETOPT_POSIX=''
895GL_GNULIB_GETPAGESIZE=''
896GL_GNULIB_GETPASS=''
897GL_GNULIB_GETPEERNAME=''
898GL_GNULIB_GETSOCKNAME=''
899GL_GNULIB_GETSOCKOPT=''
900GL_GNULIB_GETSUBOPT=''
901GL_GNULIB_GETTIMEOFDAY=''
902GL_GNULIB_GETUMASK=''
903GL_GNULIB_GETUSERSHELL=''
904GL_GNULIB_GRANTPT=''
905GL_GNULIB_GROUP_MEMBER=''
906GL_GNULIB_IMAXABS=''
907GL_GNULIB_IMAXDIV=''
908GL_GNULIB_INET_NTOP=''
909GL_GNULIB_INET_PTON=''
910GL_GNULIB_ISATTY=''
911GL_GNULIB_LCHMOD=''
912GL_GNULIB_LCHOWN=''
913GL_GNULIB_LINK=''
914GL_GNULIB_LINKAT=''
915GL_GNULIB_LISTEN=''
916GL_GNULIB_LOCALTIME=''
917GL_GNULIB_LSEEK=''
918GL_GNULIB_LSTAT=''
919GL_GNULIB_MALLOC_POSIX=''
920GL_GNULIB_MBRLEN=''
921GL_GNULIB_MBRTOWC=''
922GL_GNULIB_MBSCASECMP=''
923GL_GNULIB_MBSCASESTR=''
924GL_GNULIB_MBSCHR=''
925GL_GNULIB_MBSCSPN=''
926GL_GNULIB_MBSINIT=''
927GL_GNULIB_MBSLEN=''
928GL_GNULIB_MBSNCASECMP=''
929GL_GNULIB_MBSNLEN=''
930GL_GNULIB_MBSNRTOWCS=''
931GL_GNULIB_MBSPBRK=''
932GL_GNULIB_MBSPCASECMP=''
933GL_GNULIB_MBSRCHR=''
934GL_GNULIB_MBSRTOWCS=''
935GL_GNULIB_MBSSEP=''
936GL_GNULIB_MBSSPN=''
937GL_GNULIB_MBSSTR=''
938GL_GNULIB_MBSTOK_R=''
939GL_GNULIB_MBTOWC=''
940GL_GNULIB_MDA_ACCESS=''
941GL_GNULIB_MDA_CHDIR=''
942GL_GNULIB_MDA_CHMOD=''
943GL_GNULIB_MDA_CLOSE=''
944GL_GNULIB_MDA_CREAT=''
945GL_GNULIB_MDA_DUP2=''
946GL_GNULIB_MDA_DUP=''
947GL_GNULIB_MDA_ECVT=''
948GL_GNULIB_MDA_EXECL=''
949GL_GNULIB_MDA_EXECLE=''
950GL_GNULIB_MDA_EXECLP=''
951GL_GNULIB_MDA_EXECV=''
952GL_GNULIB_MDA_EXECVE=''
953GL_GNULIB_MDA_EXECVP=''
954GL_GNULIB_MDA_EXECVPE=''
955GL_GNULIB_MDA_FCLOSEALL=''
956GL_GNULIB_MDA_FCVT=''
957GL_GNULIB_MDA_FDOPEN=''
958GL_GNULIB_MDA_FILENO=''
959GL_GNULIB_MDA_GCVT=''
960GL_GNULIB_MDA_GETCWD=''
961GL_GNULIB_MDA_GETPID=''
962GL_GNULIB_MDA_GETW=''
963GL_GNULIB_MDA_ISATTY=''
964GL_GNULIB_MDA_LSEEK=''
965GL_GNULIB_MDA_MEMCCPY=''
966GL_GNULIB_MDA_MKDIR=''
967GL_GNULIB_MDA_MKTEMP=''
968GL_GNULIB_MDA_OPEN=''
969GL_GNULIB_MDA_PUTENV=''
970GL_GNULIB_MDA_PUTW=''
971GL_GNULIB_MDA_READ=''
972GL_GNULIB_MDA_RMDIR=''
973GL_GNULIB_MDA_STRDUP=''
974GL_GNULIB_MDA_SWAB=''
975GL_GNULIB_MDA_TEMPNAM=''
976GL_GNULIB_MDA_TZSET=''
977GL_GNULIB_MDA_UMASK=''
978GL_GNULIB_MDA_UNLINK=''
979GL_GNULIB_MDA_WCSDUP=''
980GL_GNULIB_MDA_WRITE=''
981GL_GNULIB_MEMCHR=''
982GL_GNULIB_MEMMEM=''
983GL_GNULIB_MEMPCPY=''
984GL_GNULIB_MEMRCHR=''
985GL_GNULIB_MKDIR=''
986GL_GNULIB_MKDIRAT=''
987GL_GNULIB_MKDTEMP=''
988GL_GNULIB_MKFIFO=''
989GL_GNULIB_MKFIFOAT=''
990GL_GNULIB_MKNOD=''
991GL_GNULIB_MKNODAT=''
992GL_GNULIB_MKOSTEMP=''
993GL_GNULIB_MKOSTEMPS=''
994GL_GNULIB_MKSTEMP=''
995GL_GNULIB_MKSTEMPS=''
996GL_GNULIB_MKTIME=''
997GL_GNULIB_NANOSLEEP=''
998GL_GNULIB_NONBLOCKING=''
999GL_GNULIB_OBSTACK_PRINTF=''
1000GL_GNULIB_OBSTACK_PRINTF_POSIX=''
1001GL_GNULIB_OPEN=''
1002GL_GNULIB_OPENAT=''
1003GL_GNULIB_OVERRIDES_STRUCT_STAT=''
1004GL_GNULIB_PCLOSE=''
1005GL_GNULIB_PERROR=''
1006GL_GNULIB_PIPE2=''
1007GL_GNULIB_PIPE=''
1008GL_GNULIB_POPEN=''
1009GL_GNULIB_POSIX_MEMALIGN=''
1010GL_GNULIB_POSIX_OPENPT=''
1011GL_GNULIB_PREAD=''
1012GL_GNULIB_PRINTF=''
1013GL_GNULIB_PRINTF_POSIX=''
1014GL_GNULIB_PTSNAME=''
1015GL_GNULIB_PTSNAME_R=''
1016GL_GNULIB_PUTC=''
1017GL_GNULIB_PUTCHAR=''
1018GL_GNULIB_PUTENV=''
1019GL_GNULIB_PUTS=''
1020GL_GNULIB_PWRITE=''
1021GL_GNULIB_QSORT_R=''
1022GL_GNULIB_RANDOM=''
1023GL_GNULIB_RANDOM_R=''
1024GL_GNULIB_RAWMEMCHR=''
1025GL_GNULIB_READ=''
1026GL_GNULIB_READLINK=''
1027GL_GNULIB_READLINKAT=''
1028GL_GNULIB_REALLOCARRAY=''
1029GL_GNULIB_REALLOC_POSIX=''
1030GL_GNULIB_REALPATH=''
1031GL_GNULIB_RECV=''
1032GL_GNULIB_RECVFROM=''
1033GL_GNULIB_REMOVE=''
1034GL_GNULIB_RENAME=''
1035GL_GNULIB_RENAMEAT=''
1036GL_GNULIB_RMDIR=''
1037GL_GNULIB_RPMATCH=''
1038GL_GNULIB_SCANF=''
1039GL_GNULIB_SECURE_GETENV=''
1040GL_GNULIB_SEND=''
1041GL_GNULIB_SENDTO=''
1042GL_GNULIB_SETENV=''
1043GL_GNULIB_SETHOSTNAME=''
1044GL_GNULIB_SETSOCKOPT=''
1045GL_GNULIB_SHUTDOWN=''
1046GL_GNULIB_SIGABBREV_NP=''
1047GL_GNULIB_SIGDESCR_NP=''
1048GL_GNULIB_SLEEP=''
1049GL_GNULIB_SNPRINTF=''
1050GL_GNULIB_SOCKET=''
1051GL_GNULIB_SPRINTF_POSIX=''
1052GL_GNULIB_STAT=''
1053GL_GNULIB_STDIO_H_NONBLOCKING=''
1054GL_GNULIB_STDIO_H_SIGPIPE=''
1055GL_GNULIB_STPCPY=''
1056GL_GNULIB_STPNCPY=''
1057GL_GNULIB_STRCASESTR=''
1058GL_GNULIB_STRCHRNUL=''
1059GL_GNULIB_STRDUP=''
1060GL_GNULIB_STRERROR=''
1061GL_GNULIB_STRERRORNAME_NP=''
1062GL_GNULIB_STRERROR_R=''
1063GL_GNULIB_STRFTIME=''
1064GL_GNULIB_STRNCAT=''
1065GL_GNULIB_STRNDUP=''
1066GL_GNULIB_STRNLEN=''
1067GL_GNULIB_STRPBRK=''
1068GL_GNULIB_STRPTIME=''
1069GL_GNULIB_STRSEP=''
1070GL_GNULIB_STRSIGNAL=''
1071GL_GNULIB_STRSTR=''
1072GL_GNULIB_STRTOD=''
1073GL_GNULIB_STRTOIMAX=''
1074GL_GNULIB_STRTOK_R=''
1075GL_GNULIB_STRTOL=''
1076GL_GNULIB_STRTOLD=''
1077GL_GNULIB_STRTOLL=''
1078GL_GNULIB_STRTOUL=''
1079GL_GNULIB_STRTOULL=''
1080GL_GNULIB_STRTOUMAX=''
1081GL_GNULIB_STRVERSCMP=''
1082GL_GNULIB_SYMLINK=''
1083GL_GNULIB_SYMLINKAT=''
1084GL_GNULIB_SYSTEM_POSIX=''
1085GL_GNULIB_TIMEGM=''
1086GL_GNULIB_TIMESPEC_GET=''
1087GL_GNULIB_TIME_R=''
1088GL_GNULIB_TIME_RZ=''
1089GL_GNULIB_TMPFILE=''
1090GL_GNULIB_TRUNCATE=''
1091GL_GNULIB_TTYNAME_R=''
1092GL_GNULIB_TZSET=''
1093GL_GNULIB_UNISTD_H_NONBLOCKING=''
1094GL_GNULIB_UNISTD_H_SIGPIPE=''
1095GL_GNULIB_UNLINK=''
1096GL_GNULIB_UNLINKAT=''
1097GL_GNULIB_UNLOCKPT=''
1098GL_GNULIB_UNSETENV=''
1099GL_GNULIB_USLEEP=''
1100GL_GNULIB_UTIMENSAT=''
1101GL_GNULIB_VASPRINTF=''
1102GL_GNULIB_VDPRINTF=''
1103GL_GNULIB_VFPRINTF=''
1104GL_GNULIB_VFPRINTF_POSIX=''
1105GL_GNULIB_VFSCANF=''
1106GL_GNULIB_VPRINTF=''
1107GL_GNULIB_VPRINTF_POSIX=''
1108GL_GNULIB_VSCANF=''
1109GL_GNULIB_VSNPRINTF=''
1110GL_GNULIB_VSPRINTF_POSIX=''
1111GL_GNULIB_WCPCPY=''
1112GL_GNULIB_WCPNCPY=''
1113GL_GNULIB_WCRTOMB=''
1114GL_GNULIB_WCSCASECMP=''
1115GL_GNULIB_WCSCAT=''
1116GL_GNULIB_WCSCHR=''
1117GL_GNULIB_WCSCMP=''
1118GL_GNULIB_WCSCOLL=''
1119GL_GNULIB_WCSCPY=''
1120GL_GNULIB_WCSCSPN=''
1121GL_GNULIB_WCSDUP=''
1122GL_GNULIB_WCSFTIME=''
1123GL_GNULIB_WCSLEN=''
1124GL_GNULIB_WCSNCASECMP=''
1125GL_GNULIB_WCSNCAT=''
1126GL_GNULIB_WCSNCMP=''
1127GL_GNULIB_WCSNCPY=''
1128GL_GNULIB_WCSNLEN=''
1129GL_GNULIB_WCSNRTOMBS=''
1130GL_GNULIB_WCSPBRK=''
1131GL_GNULIB_WCSRCHR=''
1132GL_GNULIB_WCSRTOMBS=''
1133GL_GNULIB_WCSSPN=''
1134GL_GNULIB_WCSSTR=''
1135GL_GNULIB_WCSTOK=''
1136GL_GNULIB_WCSWIDTH=''
1137GL_GNULIB_WCSXFRM=''
1138GL_GNULIB_WCTOB=''
1139GL_GNULIB_WCTOMB=''
1140GL_GNULIB_WCWIDTH=''
1141GL_GNULIB_WMEMCHR=''
1142GL_GNULIB_WMEMCMP=''
1143GL_GNULIB_WMEMCPY=''
1144GL_GNULIB_WMEMMOVE=''
1145GL_GNULIB_WMEMPCPY=''
1146GL_GNULIB_WMEMSET=''
1147GL_GNULIB_WRITE=''
1148GL_GNULIB__EXIT=''
1149GMP_CFLAGS=''
1150GMP_LIBS=''
1151GMSGFMT=''
1152GMSGFMT_015=''
1153GNULIBHEADERS_OVERRIDE_WINT_T=''
1154GNULIB_GETTIMEOFDAY=''
1155GNUTLS_LIBS_PRIVATE=''
1156GNUTLS_REQUIRES_PRIVATE=''
1157GPERF=''
1158GREP=''
1159GTKDOC_CHECK=''
1160GTKDOC_CHECK_PATH=''
1161GTKDOC_DEPS_CFLAGS=''
1162GTKDOC_DEPS_LIBS=''
1163GTKDOC_MKPDF=''
1164GTKDOC_REBASE=''
1165GTK_DOC_BUILD_HTML_FALSE=''
1166GTK_DOC_BUILD_HTML_TRUE=''
1167GTK_DOC_BUILD_PDF_FALSE=''
1168GTK_DOC_BUILD_PDF_TRUE=''
1169GTK_DOC_USE_LIBTOOL_FALSE=''
1170GTK_DOC_USE_LIBTOOL_TRUE=''
1171GTK_DOC_USE_REBASE_FALSE=''
1172GTK_DOC_USE_REBASE_TRUE=''
1173GUILD=''
1174GUILE=''
1175GUILE_CFLAGS=''
1176GUILE_CONFIG=''
1177GUILE_EFFECTIVE_VERSION=''
1178GUILE_EXTENSION=''
1179GUILE_LDFLAGS=''
1180GUILE_LIBS=''
1181GUILE_LTLIBS=''
1182GUILE_SITE=''
1183GUILE_SITE_CCACHE=''
1184GUILE_TOOLS=''
1185HAVE_ACCEPT4=''
1186HAVE_ALIGNED_ALLOC=''
1187HAVE_ALLOCA_H=''
1188HAVE_ARPA_INET_H=''
1189HAVE_ATOLL=''
1190HAVE_BCRYPT_FALSE=''
1191HAVE_BCRYPT_TRUE=''
1192HAVE_BTOWC=''
1193HAVE_C99_STDINT_H=''
1194HAVE_CANONICALIZE_FILE_NAME=''
1195HAVE_CHOWN=''
1196HAVE_CMOCKA_FALSE=''
1197HAVE_CMOCKA_TRUE=''
1198HAVE_COPY_FILE_RANGE=''
1199HAVE_CRTDEFS_H=''
1200HAVE_DECL_ECVT=''
1201HAVE_DECL_ENVIRON=''
1202HAVE_DECL_EXECVPE=''
1203HAVE_DECL_FCHDIR=''
1204HAVE_DECL_FCLOSEALL=''
1205HAVE_DECL_FCVT=''
1206HAVE_DECL_FDATASYNC=''
1207HAVE_DECL_FPURGE=''
1208HAVE_DECL_FREEADDRINFO=''
1209HAVE_DECL_FSEEKO=''
1210HAVE_DECL_FTELLO=''
1211HAVE_DECL_GAI_STRERROR=''
1212HAVE_DECL_GCVT=''
1213HAVE_DECL_GETADDRINFO=''
1214HAVE_DECL_GETDELIM=''
1215HAVE_DECL_GETDOMAINNAME=''
1216HAVE_DECL_GETLINE=''
1217HAVE_DECL_GETLOADAVG=''
1218HAVE_DECL_GETLOGIN=''
1219HAVE_DECL_GETLOGIN_R=''
1220HAVE_DECL_GETNAMEINFO=''
1221HAVE_DECL_GETPAGESIZE=''
1222HAVE_DECL_GETUSERSHELL=''
1223HAVE_DECL_IMAXABS=''
1224HAVE_DECL_IMAXDIV=''
1225HAVE_DECL_INET_NTOP=''
1226HAVE_DECL_INET_PTON=''
1227HAVE_DECL_INITSTATE=''
1228HAVE_DECL_LOCALTIME_R=''
1229HAVE_DECL_MEMMEM=''
1230HAVE_DECL_MEMRCHR=''
1231HAVE_DECL_OBSTACK_PRINTF=''
1232HAVE_DECL_SETENV=''
1233HAVE_DECL_SETHOSTNAME=''
1234HAVE_DECL_SETSTATE=''
1235HAVE_DECL_SNPRINTF=''
1236HAVE_DECL_STRDUP=''
1237HAVE_DECL_STRERROR_R=''
1238HAVE_DECL_STRNCASECMP=''
1239HAVE_DECL_STRNDUP=''
1240HAVE_DECL_STRNLEN=''
1241HAVE_DECL_STRSIGNAL=''
1242HAVE_DECL_STRTOIMAX=''
1243HAVE_DECL_STRTOK_R=''
1244HAVE_DECL_STRTOUMAX=''
1245HAVE_DECL_TRUNCATE=''
1246HAVE_DECL_TTYNAME_R=''
1247HAVE_DECL_UNSETENV=''
1248HAVE_DECL_VSNPRINTF=''
1249HAVE_DECL_WCSDUP=''
1250HAVE_DECL_WCTOB=''
1251HAVE_DECL_WCWIDTH=''
1252HAVE_DPRINTF=''
1253HAVE_DUP3=''
1254HAVE_DUPLOCALE=''
1255HAVE_EUIDACCESS=''
1256HAVE_EXECVPE=''
1257HAVE_EXPLICIT_BZERO=''
1258HAVE_FACCESSAT=''
1259HAVE_FCHDIR=''
1260HAVE_FCHMODAT=''
1261HAVE_FCHOWNAT=''
1262HAVE_FCNTL=''
1263HAVE_FDATASYNC=''
1264HAVE_FEATURES_H=''
1265HAVE_FFS=''
1266HAVE_FFSL=''
1267HAVE_FFSLL=''
1268HAVE_FORK_FALSE=''
1269HAVE_FORK_TRUE=''
1270HAVE_FREELOCALE=''
1271HAVE_FSEEKO=''
1272HAVE_FSTATAT=''
1273HAVE_FSYNC=''
1274HAVE_FTELLO=''
1275HAVE_FTRUNCATE=''
1276HAVE_FUTIMENS=''
1277HAVE_GCC_FALSE=''
1278HAVE_GCC_GNU89_INLINE_OPTION_FALSE=''
1279HAVE_GCC_GNU89_INLINE_OPTION_TRUE=''
1280HAVE_GCC_TRUE=''
1281HAVE_GETDTABLESIZE=''
1282HAVE_GETENTROPY=''
1283HAVE_GETENTROPY_FALSE=''
1284HAVE_GETENTROPY_TRUE=''
1285HAVE_GETGROUPS=''
1286HAVE_GETHOSTNAME=''
1287HAVE_GETLOGIN=''
1288HAVE_GETPAGESIZE=''
1289HAVE_GETPASS=''
1290HAVE_GETSUBOPT=''
1291HAVE_GETTIMEOFDAY=''
1292HAVE_GETUMASK=''
1293HAVE_GRANTPT=''
1294HAVE_GROUP_MEMBER=''
1295HAVE_GTK_DOC_FALSE=''
1296HAVE_GTK_DOC_TRUE=''
1297HAVE_GUILD_FALSE=''
1298HAVE_GUILD_TRUE=''
1299HAVE_GUILE_FALSE=''
1300HAVE_GUILE_TRUE=''
1301HAVE_IMAXDIV_T=''
1302HAVE_INITSTATE=''
1303HAVE_INTTYPES_H=''
1304HAVE_ISBLANK=''
1305HAVE_KERN_ARND_FALSE=''
1306HAVE_KERN_ARND_TRUE=''
1307HAVE_LANGINFO_ALTMON=''
1308HAVE_LANGINFO_CODESET=''
1309HAVE_LANGINFO_ERA=''
1310HAVE_LANGINFO_H=''
1311HAVE_LANGINFO_T_FMT_AMPM=''
1312HAVE_LANGINFO_YESEXPR=''
1313HAVE_LCHMOD=''
1314HAVE_LCHOWN=''
1315HAVE_LD_OUTPUT_DEF_FALSE=''
1316HAVE_LD_OUTPUT_DEF_TRUE=''
1317HAVE_LD_VERSION_SCRIPT_FALSE=''
1318HAVE_LD_VERSION_SCRIPT_TRUE=''
1319HAVE_LIBBROTLI_FALSE=''
1320HAVE_LIBBROTLI_TRUE=''
1321HAVE_LIBCRYPTO=''
1322HAVE_LIBCRYPTO_FALSE=''
1323HAVE_LIBCRYPTO_TRUE=''
1324HAVE_LIBDL=''
1325HAVE_LIBEV=''
1326HAVE_LIBIDN2_FALSE=''
1327HAVE_LIBIDN2_TRUE=''
1328HAVE_LIBPTHREAD=''
1329HAVE_LIBRT=''
1330HAVE_LIBSECCOMP=''
1331HAVE_LIBUNISTRING_FALSE=''
1332HAVE_LIBUNISTRING_TRUE=''
1333HAVE_LIBZ=''
1334HAVE_LIBZSTD_FALSE=''
1335HAVE_LIBZSTD_TRUE=''
1336HAVE_LINK=''
1337HAVE_LINKAT=''
1338HAVE_LSTAT=''
1339HAVE_MAX_ALIGN_T=''
1340HAVE_MBRLEN=''
1341HAVE_MBRTOWC=''
1342HAVE_MBSINIT=''
1343HAVE_MBSLEN=''
1344HAVE_MBSNRTOWCS=''
1345HAVE_MBSRTOWCS=''
1346HAVE_MBTOWC=''
1347HAVE_MEMPCPY=''
1348HAVE_MKDIRAT=''
1349HAVE_MKDTEMP=''
1350HAVE_MKFIFO=''
1351HAVE_MKFIFOAT=''
1352HAVE_MKNOD=''
1353HAVE_MKNODAT=''
1354HAVE_MKOSTEMP=''
1355HAVE_MKOSTEMPS=''
1356HAVE_MKSTEMP=''
1357HAVE_MKSTEMPS=''
1358HAVE_MSVC_INVALID_PARAMETER_HANDLER=''
1359HAVE_NANOSLEEP=''
1360HAVE_NETDB_H=''
1361HAVE_NETINET_IN_H=''
1362HAVE_NEWLOCALE=''
1363HAVE_NL_LANGINFO=''
1364HAVE_OPENAT=''
1365HAVE_OS_H=''
1366HAVE_PCLOSE=''
1367HAVE_PIPE2=''
1368HAVE_PIPE=''
1369HAVE_PKCS11_TRUST_STORE_FALSE=''
1370HAVE_PKCS11_TRUST_STORE_TRUE=''
1371HAVE_POPEN=''
1372HAVE_POSIX_MEMALIGN=''
1373HAVE_POSIX_OPENPT=''
1374HAVE_POSIX_SIGNALBLOCKING=''
1375HAVE_PREAD=''
1376HAVE_PSELECT=''
1377HAVE_PTHREAD_ATTR_DESTROY=''
1378HAVE_PTHREAD_ATTR_GETDETACHSTATE=''
1379HAVE_PTHREAD_ATTR_INIT=''
1380HAVE_PTHREAD_ATTR_SETDETACHSTATE=''
1381HAVE_PTHREAD_CONDATTR_DESTROY=''
1382HAVE_PTHREAD_CONDATTR_INIT=''
1383HAVE_PTHREAD_COND_BROADCAST=''
1384HAVE_PTHREAD_COND_DESTROY=''
1385HAVE_PTHREAD_COND_INIT=''
1386HAVE_PTHREAD_COND_SIGNAL=''
1387HAVE_PTHREAD_COND_TIMEDWAIT=''
1388HAVE_PTHREAD_COND_WAIT=''
1389HAVE_PTHREAD_CREATE=''
1390HAVE_PTHREAD_CREATE_DETACHED=''
1391HAVE_PTHREAD_DETACH=''
1392HAVE_PTHREAD_EQUAL=''
1393HAVE_PTHREAD_EXIT=''
1394HAVE_PTHREAD_GETSPECIFIC=''
1395HAVE_PTHREAD_H=''
1396HAVE_PTHREAD_JOIN=''
1397HAVE_PTHREAD_KEY_CREATE=''
1398HAVE_PTHREAD_KEY_DELETE=''
1399HAVE_PTHREAD_MUTEXATTR_DESTROY=''
1400HAVE_PTHREAD_MUTEXATTR_GETROBUST=''
1401HAVE_PTHREAD_MUTEXATTR_GETTYPE=''
1402HAVE_PTHREAD_MUTEXATTR_INIT=''
1403HAVE_PTHREAD_MUTEXATTR_SETROBUST=''
1404HAVE_PTHREAD_MUTEXATTR_SETTYPE=''
1405HAVE_PTHREAD_MUTEX_DESTROY=''
1406HAVE_PTHREAD_MUTEX_INIT=''
1407HAVE_PTHREAD_MUTEX_LOCK=''
1408HAVE_PTHREAD_MUTEX_RECURSIVE=''
1409HAVE_PTHREAD_MUTEX_ROBUST=''
1410HAVE_PTHREAD_MUTEX_TIMEDLOCK=''
1411HAVE_PTHREAD_MUTEX_TRYLOCK=''
1412HAVE_PTHREAD_MUTEX_UNLOCK=''
1413HAVE_PTHREAD_ONCE=''
1414HAVE_PTHREAD_PROCESS_SHARED=''
1415HAVE_PTHREAD_RWLOCKATTR_DESTROY=''
1416HAVE_PTHREAD_RWLOCKATTR_INIT=''
1417HAVE_PTHREAD_RWLOCK_DESTROY=''
1418HAVE_PTHREAD_RWLOCK_INIT=''
1419HAVE_PTHREAD_RWLOCK_RDLOCK=''
1420HAVE_PTHREAD_RWLOCK_TIMEDRDLOCK=''
1421HAVE_PTHREAD_RWLOCK_TIMEDWRLOCK=''
1422HAVE_PTHREAD_RWLOCK_TRYRDLOCK=''
1423HAVE_PTHREAD_RWLOCK_TRYWRLOCK=''
1424HAVE_PTHREAD_RWLOCK_UNLOCK=''
1425HAVE_PTHREAD_RWLOCK_WRLOCK=''
1426HAVE_PTHREAD_SELF=''
1427HAVE_PTHREAD_SETSPECIFIC=''
1428HAVE_PTHREAD_SIGMASK=''
1429HAVE_PTHREAD_SPINLOCK_T=''
1430HAVE_PTHREAD_SPIN_DESTROY=''
1431HAVE_PTHREAD_SPIN_INIT=''
1432HAVE_PTHREAD_SPIN_LOCK=''
1433HAVE_PTHREAD_SPIN_TRYLOCK=''
1434HAVE_PTHREAD_SPIN_UNLOCK=''
1435HAVE_PTHREAD_T=''
1436HAVE_PTSNAME=''
1437HAVE_PTSNAME_R=''
1438HAVE_PWRITE=''
1439HAVE_QSORT_R=''
1440HAVE_RAISE=''
1441HAVE_RANDOM=''
1442HAVE_RANDOM_H=''
1443HAVE_RANDOM_R=''
1444HAVE_RAWMEMCHR=''
1445HAVE_READLINK=''
1446HAVE_READLINKAT=''
1447HAVE_REALLOCARRAY=''
1448HAVE_REALPATH=''
1449HAVE_RENAMEAT=''
1450HAVE_RPMATCH=''
1451HAVE_SA_FAMILY_T=''
1452HAVE_SCHED_H=''
1453HAVE_SCHED_YIELD=''
1454HAVE_SECCOMP_TESTS_FALSE=''
1455HAVE_SECCOMP_TESTS_TRUE=''
1456HAVE_SECURE_GETENV=''
1457HAVE_SETENV=''
1458HAVE_SETHOSTNAME=''
1459HAVE_SETSTATE=''
1460HAVE_SIGABBREV_NP=''
1461HAVE_SIGACTION=''
1462HAVE_SIGDESCR_NP=''
1463HAVE_SIGHANDLER_T=''
1464HAVE_SIGINFO_T=''
1465HAVE_SIGNED_SIG_ATOMIC_T=''
1466HAVE_SIGNED_WCHAR_T=''
1467HAVE_SIGNED_WINT_T=''
1468HAVE_SIGSET_T=''
1469HAVE_SLEEP=''
1470HAVE_STDINT_H=''
1471HAVE_STPCPY=''
1472HAVE_STPNCPY=''
1473HAVE_STRCASECMP=''
1474HAVE_STRCASESTR=''
1475HAVE_STRCHRNUL=''
1476HAVE_STRERRORNAME_NP=''
1477HAVE_STRINGS_H=''
1478HAVE_STRPBRK=''
1479HAVE_STRPTIME=''
1480HAVE_STRSEP=''
1481HAVE_STRTOD=''
1482HAVE_STRTOL=''
1483HAVE_STRTOLD=''
1484HAVE_STRTOLL=''
1485HAVE_STRTOUL=''
1486HAVE_STRTOULL=''
1487HAVE_STRUCT_ADDRINFO=''
1488HAVE_STRUCT_RANDOM_DATA=''
1489HAVE_STRUCT_SCHED_PARAM=''
1490HAVE_STRUCT_SIGACTION_SA_SIGACTION=''
1491HAVE_STRUCT_SOCKADDR_STORAGE=''
1492HAVE_STRUCT_SOCKADDR_STORAGE_SS_FAMILY=''
1493HAVE_STRUCT_TIMEVAL=''
1494HAVE_STRVERSCMP=''
1495HAVE_SYMLINK=''
1496HAVE_SYMLINKAT=''
1497HAVE_SYS_BITYPES_H=''
1498HAVE_SYS_CDEFS_H=''
1499HAVE_SYS_INTTYPES_H=''
1500HAVE_SYS_IOCTL_H=''
1501HAVE_SYS_LOADAVG_H=''
1502HAVE_SYS_PARAM_H=''
1503HAVE_SYS_SELECT_H=''
1504HAVE_SYS_SOCKET_H=''
1505HAVE_SYS_TIME_H=''
1506HAVE_SYS_TYPES_H=''
1507HAVE_SYS_UIO_H=''
1508HAVE_TIMEGM=''
1509HAVE_TIMESPEC_GET=''
1510HAVE_TIMEZONE_T=''
1511HAVE_TYPE_VOLATILE_SIG_ATOMIC_T=''
1512HAVE_UNISTD_H=''
1513HAVE_UNLINKAT=''
1514HAVE_UNLOCKPT=''
1515HAVE_USLEEP=''
1516HAVE_UTIMENSAT=''
1517HAVE_VASPRINTF=''
1518HAVE_VDPRINTF=''
1519HAVE_VISIBILITY=''
1520HAVE_WCHAR_H=''
1521HAVE_WCHAR_T=''
1522HAVE_WCPCPY=''
1523HAVE_WCPNCPY=''
1524HAVE_WCRTOMB=''
1525HAVE_WCSCASECMP=''
1526HAVE_WCSCAT=''
1527HAVE_WCSCHR=''
1528HAVE_WCSCMP=''
1529HAVE_WCSCOLL=''
1530HAVE_WCSCPY=''
1531HAVE_WCSCSPN=''
1532HAVE_WCSDUP=''
1533HAVE_WCSFTIME=''
1534HAVE_WCSLEN=''
1535HAVE_WCSNCASECMP=''
1536HAVE_WCSNCAT=''
1537HAVE_WCSNCMP=''
1538HAVE_WCSNCPY=''
1539HAVE_WCSNLEN=''
1540HAVE_WCSNRTOMBS=''
1541HAVE_WCSPBRK=''
1542HAVE_WCSRCHR=''
1543HAVE_WCSRTOMBS=''
1544HAVE_WCSSPN=''
1545HAVE_WCSSTR=''
1546HAVE_WCSTOK=''
1547HAVE_WCSWIDTH=''
1548HAVE_WCSXFRM=''
1549HAVE_WINSOCK2_H=''
1550HAVE_WINT_T=''
1551HAVE_WMEMCHR=''
1552HAVE_WMEMCMP=''
1553HAVE_WMEMCPY=''
1554HAVE_WMEMMOVE=''
1555HAVE_WMEMPCPY=''
1556HAVE_WMEMSET=''
1557HAVE_WS2TCPIP_H=''
1558HAVE_XLOCALE_H=''
1559HAVE__BOOL=''
1560HAVE__EXIT=''
1561HOGWEED_CFLAGS=''
1562HOGWEED_LIBS=''
1563HOSTENT_LIB=''
1564HTML_DIR=''
1565INCLUDE_NEXT=''
1566INCLUDE_NEXT_AS_FIRST_DIRECTIVE=''
1567INET_NTOP_LIB=''
1568INET_PTON_LIB=''
1569INSTALL_DATA='${INSTALL} -m 644'
1570INSTALL_PROGRAM='${INSTALL}'
1571INSTALL_SCRIPT='${INSTALL}'
1572INSTALL_STRIP_PROGRAM='$(install_sh) -c -s'
1573INT32_MAX_LT_INTMAX_MAX=''
1574INT64_MAX_EQ_LONG_MAX=''
1575INTLLIBS=''
1576INTL_MACOSX_LIBS=''
1577LCOV=''
1578LD=''
1579LDDPOSTPROC=''
1580LDDPROG=''
1581LDFLAGS='-L/opt/local/lib -Wl,-headerpad_max_install_names -lMacportsLegacySupport -arch x86_64'
1582LIBATOMIC_LIBS=''
1583LIBBROTLIDEC_CFLAGS=''
1584LIBBROTLIDEC_LIBS=''
1585LIBBROTLIENC_CFLAGS=''
1586LIBBROTLIENC_LIBS=''
1587LIBCRYPTO=''
1588LIBCRYPTO_PREFIX=''
1589LIBDL=''
1590LIBDL_PREFIX=''
1591LIBEV=''
1592LIBEV_LIBS=''
1593LIBEV_PREFIX=''
1594LIBGNUTLS_CFLAGS=''
1595LIBGNUTLS_LIBS=''
1596LIBICONV=''
1597LIBIDN2_CFLAGS=''
1598LIBIDN2_LIBS=''
1599LIBINTL=''
1600LIBKCAPI_CFLAGS=''
1601LIBKCAPI_LIBS=''
1602LIBMULTITHREAD=''
1603LIBOBJS=''
1604LIBPMULTITHREAD=''
1605LIBPTHREAD=''
1606LIBPTHREAD_PREFIX=''
1607LIBRT=''
1608LIBRT_PREFIX=''
1609LIBS=''
1610LIBSECCOMP=''
1611LIBSECCOMP_PREFIX=''
1612LIBSOCKET=''
1613LIBSTDTHREAD=''
1614LIBTASN1_CFLAGS=''
1615LIBTASN1_LIBS=''
1616LIBTESTS_LIBDEPS=''
1617LIBTHREAD=''
1618LIBTOOL=''
1619LIBUNISTRING=''
1620LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_AND_FALSE=''
1621LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_AND_NOT_FALSE=''
1622LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_AND_NOT_TRUE=''
1623LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_AND_TRUE=''
1624LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_BYNAME_FALSE=''
1625LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_BYNAME_TRUE=''
1626LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_CC_FALSE=''
1627LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_CC_TRUE=''
1628LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_CF_FALSE=''
1629LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_CF_TRUE=''
1630LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_CN_FALSE=''
1631LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_CN_TRUE=''
1632LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_CO_FALSE=''
1633LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_CO_TRUE=''
1634LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_CS_FALSE=''
1635LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_CS_TRUE=''
1636LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_C_FALSE=''
1637LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_C_TRUE=''
1638LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LC_FALSE=''
1639LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LC_TRUE=''
1640LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LL_FALSE=''
1641LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LL_TRUE=''
1642LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LM_FALSE=''
1643LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LM_TRUE=''
1644LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LONGNAME_FALSE=''
1645LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LONGNAME_TRUE=''
1646LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LO_FALSE=''
1647LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LO_TRUE=''
1648LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LT_FALSE=''
1649LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LT_TRUE=''
1650LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LU_FALSE=''
1651LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_LU_TRUE=''
1652LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_L_FALSE=''
1653LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_L_TRUE=''
1654LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_MC_FALSE=''
1655LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_MC_TRUE=''
1656LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_ME_FALSE=''
1657LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_ME_TRUE=''
1658LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_MN_FALSE=''
1659LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_MN_TRUE=''
1660LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_M_FALSE=''
1661LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_M_TRUE=''
1662LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_NAME_FALSE=''
1663LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_NAME_TRUE=''
1664LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_ND_FALSE=''
1665LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_ND_TRUE=''
1666LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_NL_FALSE=''
1667LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_NL_TRUE=''
1668LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_NONE_FALSE=''
1669LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_NONE_TRUE=''
1670LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_NO_FALSE=''
1671LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_NO_TRUE=''
1672LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_N_FALSE=''
1673LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_N_TRUE=''
1674LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_OF_FALSE=''
1675LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_OF_TRUE=''
1676LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_OR_FALSE=''
1677LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_OR_TRUE=''
1678LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PC_FALSE=''
1679LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PC_TRUE=''
1680LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PD_FALSE=''
1681LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PD_TRUE=''
1682LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PE_FALSE=''
1683LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PE_TRUE=''
1684LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PF_FALSE=''
1685LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PF_TRUE=''
1686LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PI_FALSE=''
1687LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PI_TRUE=''
1688LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PO_FALSE=''
1689LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PO_TRUE=''
1690LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PS_FALSE=''
1691LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_PS_TRUE=''
1692LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_P_FALSE=''
1693LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_P_TRUE=''
1694LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_SC_FALSE=''
1695LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_SC_TRUE=''
1696LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_SK_FALSE=''
1697LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_SK_TRUE=''
1698LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_SM_FALSE=''
1699LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_SM_TRUE=''
1700LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_SO_FALSE=''
1701LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_SO_TRUE=''
1702LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_S_FALSE=''
1703LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_S_TRUE=''
1704LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_TEST_FALSE=''
1705LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_TEST_TRUE=''
1706LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_ZL_FALSE=''
1707LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_ZL_TRUE=''
1708LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_ZP_FALSE=''
1709LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_ZP_TRUE=''
1710LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_ZS_FALSE=''
1711LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_ZS_TRUE=''
1712LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_Z_FALSE=''
1713LIBUNISTRING_COMPILE_UNICTYPE_CATEGORY_Z_TRUE=''
1714LIBUNISTRING_COMPILE_UNICTYPE_COMBINING_CLASS_FALSE=''
1715LIBUNISTRING_COMPILE_UNICTYPE_COMBINING_CLASS_TRUE=''
1716LIBUNISTRING_COMPILE_UNICTYPE_PROPERTY_DEFAULT_IGNORABLE_CODE_POINT_FALSE=''
1717LIBUNISTRING_COMPILE_UNICTYPE_PROPERTY_DEFAULT_IGNORABLE_CODE_POINT_TRUE=''
1718LIBUNISTRING_COMPILE_UNICTYPE_PROPERTY_JOIN_CONTROL_FALSE=''
1719LIBUNISTRING_COMPILE_UNICTYPE_PROPERTY_JOIN_CONTROL_TRUE=''
1720LIBUNISTRING_COMPILE_UNICTYPE_PROPERTY_NOT_A_CHARACTER_FALSE=''
1721LIBUNISTRING_COMPILE_UNICTYPE_PROPERTY_NOT_A_CHARACTER_TRUE=''
1722LIBUNISTRING_COMPILE_UNINORM_CANONICAL_DECOMPOSITION_FALSE=''
1723LIBUNISTRING_COMPILE_UNINORM_CANONICAL_DECOMPOSITION_TRUE=''
1724LIBUNISTRING_COMPILE_UNINORM_COMPOSITION_FALSE=''
1725LIBUNISTRING_COMPILE_UNINORM_COMPOSITION_TRUE=''
1726LIBUNISTRING_COMPILE_UNINORM_DECOMPOSITION_FALSE=''
1727LIBUNISTRING_COMPILE_UNINORM_DECOMPOSITION_TRUE=''
1728LIBUNISTRING_COMPILE_UNINORM_NFC_FALSE=''
1729LIBUNISTRING_COMPILE_UNINORM_NFC_TRUE=''
1730LIBUNISTRING_COMPILE_UNINORM_NFD_FALSE=''
1731LIBUNISTRING_COMPILE_UNINORM_NFD_TRUE=''
1732LIBUNISTRING_COMPILE_UNINORM_NFKC_FALSE=''
1733LIBUNISTRING_COMPILE_UNINORM_NFKC_TRUE=''
1734LIBUNISTRING_COMPILE_UNINORM_NFKD_FALSE=''
1735LIBUNISTRING_COMPILE_UNINORM_NFKD_TRUE=''
1736LIBUNISTRING_COMPILE_UNINORM_U16_NORMALIZE_FALSE=''
1737LIBUNISTRING_COMPILE_UNINORM_U16_NORMALIZE_TRUE=''
1738LIBUNISTRING_COMPILE_UNINORM_U32_NORMALIZE_FALSE=''
1739LIBUNISTRING_COMPILE_UNINORM_U32_NORMALIZE_TRUE=''
1740LIBUNISTRING_COMPILE_UNINORM_U8_NORMALIZE_FALSE=''
1741LIBUNISTRING_COMPILE_UNINORM_U8_NORMALIZE_TRUE=''
1742LIBUNISTRING_COMPILE_UNISTR_U16_CPY_FALSE=''
1743LIBUNISTRING_COMPILE_UNISTR_U16_CPY_TRUE=''
1744LIBUNISTRING_COMPILE_UNISTR_U16_MBTOUCR_FALSE=''
1745LIBUNISTRING_COMPILE_UNISTR_U16_MBTOUCR_TRUE=''
1746LIBUNISTRING_COMPILE_UNISTR_U16_MBTOUC_UNSAFE_FALSE=''
1747LIBUNISTRING_COMPILE_UNISTR_U16_MBTOUC_UNSAFE_TRUE=''
1748LIBUNISTRING_COMPILE_UNISTR_U16_TO_U8_FALSE=''
1749LIBUNISTRING_COMPILE_UNISTR_U16_TO_U8_TRUE=''
1750LIBUNISTRING_COMPILE_UNISTR_U16_UCTOMB_FALSE=''
1751LIBUNISTRING_COMPILE_UNISTR_U16_UCTOMB_TRUE=''
1752LIBUNISTRING_COMPILE_UNISTR_U32_CPY_FALSE=''
1753LIBUNISTRING_COMPILE_UNISTR_U32_CPY_TRUE=''
1754LIBUNISTRING_COMPILE_UNISTR_U32_MBTOUC_UNSAFE_FALSE=''
1755LIBUNISTRING_COMPILE_UNISTR_U32_MBTOUC_UNSAFE_TRUE=''
1756LIBUNISTRING_COMPILE_UNISTR_U32_TO_U8_FALSE=''
1757LIBUNISTRING_COMPILE_UNISTR_U32_TO_U8_TRUE=''
1758LIBUNISTRING_COMPILE_UNISTR_U32_UCTOMB_FALSE=''
1759LIBUNISTRING_COMPILE_UNISTR_U32_UCTOMB_TRUE=''
1760LIBUNISTRING_COMPILE_UNISTR_U8_CHECK_FALSE=''
1761LIBUNISTRING_COMPILE_UNISTR_U8_CHECK_TRUE=''
1762LIBUNISTRING_COMPILE_UNISTR_U8_CPY_FALSE=''
1763LIBUNISTRING_COMPILE_UNISTR_U8_CPY_TRUE=''
1764LIBUNISTRING_COMPILE_UNISTR_U8_MBTOUCR_FALSE=''
1765LIBUNISTRING_COMPILE_UNISTR_U8_MBTOUCR_TRUE=''
1766LIBUNISTRING_COMPILE_UNISTR_U8_MBTOUC_UNSAFE_FALSE=''
1767LIBUNISTRING_COMPILE_UNISTR_U8_MBTOUC_UNSAFE_TRUE=''
1768LIBUNISTRING_COMPILE_UNISTR_U8_TO_U16_FALSE=''
1769LIBUNISTRING_COMPILE_UNISTR_U8_TO_U16_TRUE=''
1770LIBUNISTRING_COMPILE_UNISTR_U8_TO_U32_FALSE=''
1771LIBUNISTRING_COMPILE_UNISTR_U8_TO_U32_TRUE=''
1772LIBUNISTRING_COMPILE_UNISTR_U8_UCTOMB_FALSE=''
1773LIBUNISTRING_COMPILE_UNISTR_U8_UCTOMB_TRUE=''
1774LIBUNISTRING_UNICTYPE_H=''
1775LIBUNISTRING_UNINORM_H=''
1776LIBUNISTRING_UNISTR_H=''
1777LIBUNISTRING_UNITYPES_H=''
1778LIBZ=''
1779LIBZSTD_CFLAGS=''
1780LIBZSTD_LIBS=''
1781LIBZ_PC=''
1782LIBZ_PREFIX=''
1783LIB_CLOCK_GETTIME=''
1784LIB_NANOSLEEP=''
1785LIB_PTHREAD=''
1786LIB_PTHREAD_SIGMASK=''
1787LIB_SCHED_YIELD=''
1788LIB_SELECT=''
1789LIB_SEMAPHORE=''
1790LIB_SETLOCALE=''
1791LIB_SETLOCALE_NULL=''
1792LIMITS_H=''
1793LIPO=''
1794LN_S=''
1795LOCALENAME_ENHANCE_LOCALE_FUNCS=''
1796LOCALE_FR=''
1797LOCALE_FR_UTF8=''
1798LOCALE_JA=''
1799LOCALE_TR_UTF8=''
1800LOCALE_ZH_CN=''
1801LOG_VALGRIND=''
1802LTALLOCA=''
1803LTLIBCRYPTO=''
1804LTLIBDL=''
1805LTLIBEV=''
1806LTLIBICONV=''
1807LTLIBINTL=''
1808LTLIBMULTITHREAD=''
1809LTLIBOBJS=''
1810LTLIBPTHREAD=''
1811LTLIBRT=''
1812LTLIBSECCOMP=''
1813LTLIBTHREAD=''
1814LTLIBZ=''
1815LT_AGE=''
1816LT_CURRENT=''
1817LT_DANE_AGE=''
1818LT_DANE_CURRENT=''
1819LT_DANE_REVISION=''
1820LT_REVISION=''
1821LT_SSL_AGE=''
1822LT_SSL_CURRENT=''
1823LT_SSL_REVISION=''
1824LT_SYS_LIBRARY_PATH=''
1825LT_XSSL_AGE=''
1826LT_XSSL_CURRENT=''
1827LT_XSSL_REVISION=''
1828MACOSX_FALSE=''
1829MACOSX_TRUE=''
1830MAINT=''
1831MAINTAINER_MODE_FALSE=''
1832MAINTAINER_MODE_TRUE=''
1833MAJOR_VERSION=''
1834MAKEINFO='${SHELL} '\''/opt/local/var/macports/build/_opt_local_var_macports_sources_mirrors.tuna.tsinghua.edu.cn_macports_release_ports_devel_gnutls/gnutls/work/gnutls-3.7.10/build-aux/missing'\'' makeinfo'
1835MANIFEST_TOOL=''
1836MINOR_VERSION=''
1837MKDIR_P='./build-aux/install-sh -c -d'
1838MSGFMT=''
1839MSGMERGE=''
1840MSGMERGE_FOR_MSGFMT_OPTION=''
1841NEEDS_LIBRT_FALSE=''
1842NEEDS_LIBRT_TRUE=''
1843NEED_LTLIBDL_FALSE=''
1844NEED_LTLIBDL_TRUE=''
1845NETINET_IN_H=''
1846NETTLE_CFLAGS=''
1847NETTLE_LIBS=''
1848NEXT_ARPA_INET_H=''
1849NEXT_AS_FIRST_DIRECTIVE_ARPA_INET_H=''
1850NEXT_AS_FIRST_DIRECTIVE_CTYPE_H=''
1851NEXT_AS_FIRST_DIRECTIVE_ERRNO_H=''
1852NEXT_AS_FIRST_DIRECTIVE_FCNTL_H=''
1853NEXT_AS_FIRST_DIRECTIVE_FLOAT_H=''
1854NEXT_AS_FIRST_DIRECTIVE_INTTYPES_H=''
1855NEXT_AS_FIRST_DIRECTIVE_LANGINFO_H=''
1856NEXT_AS_FIRST_DIRECTIVE_LIMITS_H=''
1857NEXT_AS_FIRST_DIRECTIVE_LOCALE_H=''
1858NEXT_AS_FIRST_DIRECTIVE_NETDB_H=''
1859NEXT_AS_FIRST_DIRECTIVE_NETINET_IN_H=''
1860NEXT_AS_FIRST_DIRECTIVE_PTHREAD_H=''
1861NEXT_AS_FIRST_DIRECTIVE_SCHED_H=''
1862NEXT_AS_FIRST_DIRECTIVE_SIGNAL_H=''
1863NEXT_AS_FIRST_DIRECTIVE_STDDEF_H=''
1864NEXT_AS_FIRST_DIRECTIVE_STDINT_H=''
1865NEXT_AS_FIRST_DIRECTIVE_STDIO_H=''
1866NEXT_AS_FIRST_DIRECTIVE_STDLIB_H=''
1867NEXT_AS_FIRST_DIRECTIVE_STRINGS_H=''
1868NEXT_AS_FIRST_DIRECTIVE_STRING_H=''
1869NEXT_AS_FIRST_DIRECTIVE_SYS_IOCTL_H=''
1870NEXT_AS_FIRST_DIRECTIVE_SYS_SELECT_H=''
1871NEXT_AS_FIRST_DIRECTIVE_SYS_SOCKET_H=''
1872NEXT_AS_FIRST_DIRECTIVE_SYS_STAT_H=''
1873NEXT_AS_FIRST_DIRECTIVE_SYS_TIME_H=''
1874NEXT_AS_FIRST_DIRECTIVE_SYS_TYPES_H=''
1875NEXT_AS_FIRST_DIRECTIVE_SYS_UIO_H=''
1876NEXT_AS_FIRST_DIRECTIVE_TIME_H=''
1877NEXT_AS_FIRST_DIRECTIVE_UNISTD_H=''
1878NEXT_AS_FIRST_DIRECTIVE_WCHAR_H=''
1879NEXT_CTYPE_H=''
1880NEXT_ERRNO_H=''
1881NEXT_FCNTL_H=''
1882NEXT_FLOAT_H=''
1883NEXT_INTTYPES_H=''
1884NEXT_LANGINFO_H=''
1885NEXT_LIMITS_H=''
1886NEXT_LOCALE_H=''
1887NEXT_NETDB_H=''
1888NEXT_NETINET_IN_H=''
1889NEXT_PTHREAD_H=''
1890NEXT_SCHED_H=''
1891NEXT_SIGNAL_H=''
1892NEXT_STDDEF_H=''
1893NEXT_STDINT_H=''
1894NEXT_STDIO_H=''
1895NEXT_STDLIB_H=''
1896NEXT_STRINGS_H=''
1897NEXT_STRING_H=''
1898NEXT_SYS_IOCTL_H=''
1899NEXT_SYS_SELECT_H=''
1900NEXT_SYS_SOCKET_H=''
1901NEXT_SYS_STAT_H=''
1902NEXT_SYS_TIME_H=''
1903NEXT_SYS_TYPES_H=''
1904NEXT_SYS_UIO_H=''
1905NEXT_TIME_H=''
1906NEXT_UNISTD_H=''
1907NEXT_WCHAR_H=''
1908NM=''
1909NMEDIT=''
1910NUMBER_VERSION=''
1911OBJDUMP=''
1912OBJEXT=''
1913OTOOL64=''
1914OTOOL=''
1915P11KIT_0_23_11_API_FALSE=''
1916P11KIT_0_23_11_API_TRUE=''
1917P11_KIT_CFLAGS=''
1918P11_KIT_LIBS=''
1919PACKAGE='gnutls'
1920PACKAGE_BUGREPORT='bugs@gnutls.org'
1921PACKAGE_NAME='GnuTLS'
1922PACKAGE_STRING='GnuTLS 3.7.10'
1923PACKAGE_TARNAME='gnutls'
1924PACKAGE_URL=''
1925PACKAGE_VERSION='3.7.10'
1926PARSE_DATETIME_BISON=''
1927PATCH_VERSION=''
1928PATH_SEPARATOR=':'
1929PKCS12_ITER_COUNT=''
1930PKG_CONFIG='/opt/local/bin/pkg-config'
1931PKG_CONFIG_LIBDIR=''
1932PKG_CONFIG_PATH=''
1933PMCCABE=''
1934POSUB=''
1935PRAGMA_COLUMNS=''
1936PRAGMA_SYSTEM_HEADER=''
1937PRIPTR_PREFIX=''
1938PTHREAD_H_DEFINES_STRUCT_TIMESPEC=''
1939PTRDIFF_T_SUFFIX=''
1940PYTHON=''
1941PYTHON_EXEC_PREFIX=''
1942PYTHON_PLATFORM=''
1943PYTHON_PREFIX=''
1944PYTHON_VERSION=''
1945RANLIB=''
1946REPLACE_ACCESS=''
1947REPLACE_ALIGNED_ALLOC=''
1948REPLACE_BTOWC=''
1949REPLACE_CALLOC=''
1950REPLACE_CANONICALIZE_FILE_NAME=''
1951REPLACE_CHOWN=''
1952REPLACE_CLOSE=''
1953REPLACE_CREAT=''
1954REPLACE_CTIME=''
1955REPLACE_DPRINTF=''
1956REPLACE_DUP2=''
1957REPLACE_DUP=''
1958REPLACE_DUPLOCALE=''
1959REPLACE_EXECL=''
1960REPLACE_EXECLE=''
1961REPLACE_EXECLP=''
1962REPLACE_EXECV=''
1963REPLACE_EXECVE=''
1964REPLACE_EXECVP=''
1965REPLACE_EXECVPE=''
1966REPLACE_FACCESSAT=''
1967REPLACE_FCHMODAT=''
1968REPLACE_FCHOWNAT=''
1969REPLACE_FCLOSE=''
1970REPLACE_FCNTL=''
1971REPLACE_FDOPEN=''
1972REPLACE_FFLUSH=''
1973REPLACE_FFSLL=''
1974REPLACE_FOPEN=''
1975REPLACE_FPRINTF=''
1976REPLACE_FPURGE=''
1977REPLACE_FREE=''
1978REPLACE_FREELOCALE=''
1979REPLACE_FREOPEN=''
1980REPLACE_FSEEK=''
1981REPLACE_FSEEKO=''
1982REPLACE_FSTAT=''
1983REPLACE_FSTATAT=''
1984REPLACE_FTELL=''
1985REPLACE_FTELLO=''
1986REPLACE_FTRUNCATE=''
1987REPLACE_FUTIMENS=''
1988REPLACE_GAI_STRERROR=''
1989REPLACE_GETADDRINFO=''
1990REPLACE_GETCWD=''
1991REPLACE_GETDELIM=''
1992REPLACE_GETDOMAINNAME=''
1993REPLACE_GETDTABLESIZE=''
1994REPLACE_GETGROUPS=''
1995REPLACE_GETLINE=''
1996REPLACE_GETLOGIN_R=''
1997REPLACE_GETPAGESIZE=''
1998REPLACE_GETPASS=''
1999REPLACE_GETTIMEOFDAY=''
2000REPLACE_GMTIME=''
2001REPLACE_INET_NTOP=''
2002REPLACE_INET_PTON=''
2003REPLACE_INITSTATE=''
2004REPLACE_IOCTL=''
2005REPLACE_ISATTY=''
2006REPLACE_ITOLD=''
2007REPLACE_LCHOWN=''
2008REPLACE_LINK=''
2009REPLACE_LINKAT=''
2010REPLACE_LOCALECONV=''
2011REPLACE_LOCALTIME=''
2012REPLACE_LOCALTIME_R=''
2013REPLACE_LSEEK=''
2014REPLACE_LSTAT=''
2015REPLACE_MALLOC=''
2016REPLACE_MBRLEN=''
2017REPLACE_MBRTOWC=''
2018REPLACE_MBSINIT=''
2019REPLACE_MBSNRTOWCS=''
2020REPLACE_MBSRTOWCS=''
2021REPLACE_MBSTATE_T=''
2022REPLACE_MBTOWC=''
2023REPLACE_MEMCHR=''
2024REPLACE_MEMMEM=''
2025REPLACE_MKDIR=''
2026REPLACE_MKFIFO=''
2027REPLACE_MKFIFOAT=''
2028REPLACE_MKNOD=''
2029REPLACE_MKNODAT=''
2030REPLACE_MKSTEMP=''
2031REPLACE_MKTIME=''
2032REPLACE_NANOSLEEP=''
2033REPLACE_NEWLOCALE=''
2034REPLACE_NL_LANGINFO=''
2035REPLACE_NULL=''
2036REPLACE_OBSTACK_PRINTF=''
2037REPLACE_OPEN=''
2038REPLACE_OPENAT=''
2039REPLACE_PERROR=''
2040REPLACE_POPEN=''
2041REPLACE_POSIX_MEMALIGN=''
2042REPLACE_PREAD=''
2043REPLACE_PRINTF=''
2044REPLACE_PSELECT=''
2045REPLACE_PTHREAD_ATTR_DESTROY=''
2046REPLACE_PTHREAD_ATTR_GETDETACHSTATE=''
2047REPLACE_PTHREAD_ATTR_INIT=''
2048REPLACE_PTHREAD_ATTR_SETDETACHSTATE=''
2049REPLACE_PTHREAD_CONDATTR_DESTROY=''
2050REPLACE_PTHREAD_CONDATTR_INIT=''
2051REPLACE_PTHREAD_COND_BROADCAST=''
2052REPLACE_PTHREAD_COND_DESTROY=''
2053REPLACE_PTHREAD_COND_INIT=''
2054REPLACE_PTHREAD_COND_SIGNAL=''
2055REPLACE_PTHREAD_COND_TIMEDWAIT=''
2056REPLACE_PTHREAD_COND_WAIT=''
2057REPLACE_PTHREAD_CREATE=''
2058REPLACE_PTHREAD_DETACH=''
2059REPLACE_PTHREAD_EQUAL=''
2060REPLACE_PTHREAD_EXIT=''
2061REPLACE_PTHREAD_GETSPECIFIC=''
2062REPLACE_PTHREAD_JOIN=''
2063REPLACE_PTHREAD_KEY_CREATE=''
2064REPLACE_PTHREAD_KEY_DELETE=''
2065REPLACE_PTHREAD_MUTEXATTR_DESTROY=''
2066REPLACE_PTHREAD_MUTEXATTR_GETROBUST=''
2067REPLACE_PTHREAD_MUTEXATTR_GETTYPE=''
2068REPLACE_PTHREAD_MUTEXATTR_INIT=''
2069REPLACE_PTHREAD_MUTEXATTR_SETROBUST=''
2070REPLACE_PTHREAD_MUTEXATTR_SETTYPE=''
2071REPLACE_PTHREAD_MUTEX_DESTROY=''
2072REPLACE_PTHREAD_MUTEX_INIT=''
2073REPLACE_PTHREAD_MUTEX_LOCK=''
2074REPLACE_PTHREAD_MUTEX_TIMEDLOCK=''
2075REPLACE_PTHREAD_MUTEX_TRYLOCK=''
2076REPLACE_PTHREAD_MUTEX_UNLOCK=''
2077REPLACE_PTHREAD_ONCE=''
2078REPLACE_PTHREAD_RWLOCKATTR_DESTROY=''
2079REPLACE_PTHREAD_RWLOCKATTR_INIT=''
2080REPLACE_PTHREAD_RWLOCK_DESTROY=''
2081REPLACE_PTHREAD_RWLOCK_INIT=''
2082REPLACE_PTHREAD_RWLOCK_RDLOCK=''
2083REPLACE_PTHREAD_RWLOCK_TIMEDRDLOCK=''
2084REPLACE_PTHREAD_RWLOCK_TIMEDWRLOCK=''
2085REPLACE_PTHREAD_RWLOCK_TRYRDLOCK=''
2086REPLACE_PTHREAD_RWLOCK_TRYWRLOCK=''
2087REPLACE_PTHREAD_RWLOCK_UNLOCK=''
2088REPLACE_PTHREAD_RWLOCK_WRLOCK=''
2089REPLACE_PTHREAD_SELF=''
2090REPLACE_PTHREAD_SETSPECIFIC=''
2091REPLACE_PTHREAD_SIGMASK=''
2092REPLACE_PTHREAD_SPIN_DESTROY=''
2093REPLACE_PTHREAD_SPIN_INIT=''
2094REPLACE_PTHREAD_SPIN_LOCK=''
2095REPLACE_PTHREAD_SPIN_TRYLOCK=''
2096REPLACE_PTHREAD_SPIN_UNLOCK=''
2097REPLACE_PTSNAME=''
2098REPLACE_PTSNAME_R=''
2099REPLACE_PUTENV=''
2100REPLACE_PWRITE=''
2101REPLACE_QSORT_R=''
2102REPLACE_RAISE=''
2103REPLACE_RANDOM=''
2104REPLACE_RANDOM_R=''
2105REPLACE_READ=''
2106REPLACE_READLINK=''
2107REPLACE_READLINKAT=''
2108REPLACE_REALLOC=''
2109REPLACE_REALLOCARRAY=''
2110REPLACE_REALPATH=''
2111REPLACE_REMOVE=''
2112REPLACE_RENAME=''
2113REPLACE_RENAMEAT=''
2114REPLACE_RMDIR=''
2115REPLACE_SCHED_YIELD=''
2116REPLACE_SELECT=''
2117REPLACE_SETENV=''
2118REPLACE_SETLOCALE=''
2119REPLACE_SETSTATE=''
2120REPLACE_SLEEP=''
2121REPLACE_SNPRINTF=''
2122REPLACE_SPRINTF=''
2123REPLACE_STAT=''
2124REPLACE_STDIO_READ_FUNCS=''
2125REPLACE_STDIO_WRITE_FUNCS=''
2126REPLACE_STPNCPY=''
2127REPLACE_STRCASESTR=''
2128REPLACE_STRCHRNUL=''
2129REPLACE_STRDUP=''
2130REPLACE_STRERROR=''
2131REPLACE_STRERRORNAME_NP=''
2132REPLACE_STRERROR_R=''
2133REPLACE_STRFTIME=''
2134REPLACE_STRNCAT=''
2135REPLACE_STRNDUP=''
2136REPLACE_STRNLEN=''
2137REPLACE_STRSIGNAL=''
2138REPLACE_STRSTR=''
2139REPLACE_STRTOD=''
2140REPLACE_STRTOIMAX=''
2141REPLACE_STRTOK_R=''
2142REPLACE_STRTOL=''
2143REPLACE_STRTOLD=''
2144REPLACE_STRTOLL=''
2145REPLACE_STRTOUL=''
2146REPLACE_STRTOULL=''
2147REPLACE_STRTOUMAX=''
2148REPLACE_STRUCT_LCONV=''
2149REPLACE_STRUCT_TIMEVAL=''
2150REPLACE_SYMLINK=''
2151REPLACE_SYMLINKAT=''
2152REPLACE_TIMEGM=''
2153REPLACE_TMPFILE=''
2154REPLACE_TRUNCATE=''
2155REPLACE_TTYNAME_R=''
2156REPLACE_TZSET=''
2157REPLACE_UNLINK=''
2158REPLACE_UNLINKAT=''
2159REPLACE_UNSETENV=''
2160REPLACE_USLEEP=''
2161REPLACE_UTIMENSAT=''
2162REPLACE_VASPRINTF=''
2163REPLACE_VDPRINTF=''
2164REPLACE_VFPRINTF=''
2165REPLACE_VPRINTF=''
2166REPLACE_VSNPRINTF=''
2167REPLACE_VSPRINTF=''
2168REPLACE_WCRTOMB=''
2169REPLACE_WCSFTIME=''
2170REPLACE_WCSNRTOMBS=''
2171REPLACE_WCSRTOMBS=''
2172REPLACE_WCSTOK=''
2173REPLACE_WCSWIDTH=''
2174REPLACE_WCTOB=''
2175REPLACE_WCTOMB=''
2176REPLACE_WCWIDTH=''
2177REPLACE_WRITE=''
2178SED=''
2179SERVENT_LIB=''
2180SET_MAKE=''
2181SHELL='/bin/sh'
2182SIG_ATOMIC_T_SUFFIX=''
2183SIZE_T_SUFFIX=''
2184STDALIGN_H=''
2185STDBOOL_H=''
2186STDDEF_H=''
2187STDINT_H=''
2188STRICT_DER_TIME_FALSE=''
2189STRICT_DER_TIME_TRUE=''
2190STRIP=''
2191SYS_IOCTL_H_HAVE_WINSOCK2_H=''
2192SYS_IOCTL_H_HAVE_WINSOCK2_H_AND_USE_SOCKETS=''
2193SYS_TIME_H_DEFINES_STRUCT_TIMESPEC=''
2194TIME_H_DEFINES_STRUCT_TIMESPEC=''
2195TIME_H_DEFINES_TIME_UTC=''
2196TROUSERS_LIB=''
2197TSS2_CFLAGS=''
2198TSS2_LIBS=''
2199TSS_CFLAGS=''
2200TSS_LIBS=''
2201UINT32_MAX_LT_UINTMAX_MAX=''
2202UINT64_MAX_EQ_ULONG_MAX=''
2203UNBOUND_CFLAGS=''
2204UNBOUND_LIBS=''
2205UNDEFINE_STRTOK_R=''
2206UNISTD_H_DEFINES_STRUCT_TIMESPEC=''
2207UNISTD_H_HAVE_SYS_RANDOM_H=''
2208UNISTD_H_HAVE_WINSOCK2_H=''
2209UNISTD_H_HAVE_WINSOCK2_H_AND_USE_SOCKETS=''
2210USE_NLS=''
2211VALGRIND=''
2212VALGRINDFLAGS=''
2213VALGRIND_PROGRAM=''
2214VERSION='3.7.10'
2215WANT_TEST_SUITE_FALSE=''
2216WANT_TEST_SUITE_TRUE=''
2217WARN_CFLAGS=''
2218WCHAR_T_SUFFIX=''
2219WERROR_CFLAGS=''
2220WINDOWS_64_BIT_OFF_T=''
2221WINDOWS_64_BIT_ST_SIZE=''
2222WINDOWS_FALSE=''
2223WINDOWS_STAT_INODES=''
2224WINDOWS_STAT_TIMESPEC=''
2225WINDOWS_TRUE=''
2226WINT_T_SUFFIX=''
2227WSTACK_CFLAGS=''
2228XGETTEXT=''
2229XGETTEXT_015=''
2230XGETTEXT_EXTRA_OPTIONS=''
2231YACC=''
2232YFLAGS=''
2233YIELD_LIB=''
2234ac_ct_AR=''
2235ac_ct_CC=''
2236ac_ct_CXX=''
2237ac_ct_DUMPBIN=''
2238ac_cv_sizeof_time_t=''
2239am__EXEEXT_FALSE=''
2240am__EXEEXT_TRUE=''
2241am__fastdepCCAS_FALSE=''
2242am__fastdepCCAS_TRUE=''
2243am__fastdepCC_FALSE=''
2244am__fastdepCC_TRUE=''
2245am__fastdepCXX_FALSE=''
2246am__fastdepCXX_TRUE=''
2247am__include=''
2248am__isrc=''
2249am__leading_dot='.'
2250am__nodep=''
2251am__quote=''
2252am__tar='$${TAR-tar} chof - "$$tardir"'
2253am__untar='$${TAR-tar} xf -'
2254bindir='${exec_prefix}/bin'
2255build='x86_64-apple-darwin11'
2256build_alias='x86_64-apple-darwin11'
2257build_cpu='x86_64'
2258build_os='darwin11'
2259build_vendor='apple'
2260datadir='${datarootdir}'
2261datarootdir='${prefix}/share'
2262docdir='${datarootdir}/doc/${PACKAGE_TARNAME}'
2263dvidir='${docdir}'
2264exec_prefix='NONE'
2265ggl_LIBOBJS=''
2266ggl_LTLIBOBJS=''
2267ggltests_LIBOBJS=''
2268ggltests_LTLIBOBJS=''
2269ggltests_WITNESS=''
2270gl_LIBOBJS=''
2271gl_LTLIBOBJS=''
2272gltests_LIBOBJS=''
2273gltests_LTLIBOBJS=''
2274gltests_WITNESS=''
2275gnutls_so=''
2276guile_snarf=''
2277guileextensiondir=''
2278guilesiteccachedir=''
2279guilesitedir=''
2280host='x86_64-apple-darwin11'
2281host_alias='x86_64-apple-darwin11'
2282host_cpu='x86_64'
2283host_os='darwin11'
2284host_vendor='apple'
2285htmldir='${docdir}'
2286ifGNUmake=''
2287ifnGNUmake=''
2288includedir='${prefix}/include'
2289infodir='${datarootdir}/info'
2290install_sh='${SHELL} /opt/local/var/macports/build/_opt_local_var_macports_sources_mirrors.tuna.tsinghua.edu.cn_macports_release_ports_devel_gnutls/gnutls/work/gnutls-3.7.10/build-aux/install-sh'
2291libdir='${exec_prefix}/lib'
2292libexecdir='${exec_prefix}/libexec'
2293localedir='${datarootdir}/locale'
2294localstatedir='${prefix}/var'
2295mandir='${datarootdir}/man'
2296maybe_guileextensiondir=''
2297mkdir_p='$(MKDIR_P)'
2298oldincludedir='/usr/include'
2299pdfdir='${docdir}'
2300pkgpyexecdir=''
2301pkgpythondir=''
2302prefix='/opt/local'
2303program_transform_name='s,x,x,'
2304psdir='${docdir}'
2305pyexecdir=''
2306pythondir=''
2307runstatedir='${localstatedir}/run'
2308sbindir='${exec_prefix}/sbin'
2309sharedstatedir='${prefix}/com'
2310sysconfdir='${prefix}/etc'
2311target_alias=''
2312unistring_LIBOBJS=''
2313unistring_LTLIBOBJS=''
2314unistringtests_LIBOBJS=''
2315unistringtests_LTLIBOBJS=''
2316unistringtests_WITNESS=''
2317
2318## ----------- ##
2319## confdefs.h. ##
2320## ----------- ##
2321
2322/* confdefs.h */
2323#define PACKAGE_NAME "GnuTLS"
2324#define PACKAGE_TARNAME "gnutls"
2325#define PACKAGE_VERSION "3.7.10"
2326#define PACKAGE_STRING "GnuTLS 3.7.10"
2327#define PACKAGE_BUGREPORT "bugs@gnutls.org"
2328#define PACKAGE_URL ""
2329#define PACKAGE "gnutls"
2330#define VERSION "3.7.10"
2331
2332configure: exit 77