Ticket #59733: main.log

File main.log, 45.1 KB (added by kazu-yamamoto (Kazu Yamamoto), 4 years ago)
Line 
1version:1
2:debug:sysinfo macOS 10.15 (darwin/19.0.0) arch i386
3:debug:sysinfo MacPorts 2.6.1
4:debug:sysinfo Xcode 11.2.1
5:debug:sysinfo SDK 10.15
6:debug:sysinfo MACOSX_DEPLOYMENT_TARGET: 10.15
7:debug:main epoch: in tree: 0 installed: 0
8:debug:main pkgconfig 0.29.2_0 exists in the ports tree
9:debug:main pkgconfig 0.29.2_0  is the latest installed
10:debug:main pkgconfig 0.29.2_0  is active
11:debug:main Merging existing variants '' into variants
12:debug:main new fully merged portvariants:
13:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/pkgconfig
14:debug:main OS darwin/19.0.0 (macOS 10.15) arch i386
15:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
16:debug:main adding the default universal variant
17:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
18:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
19:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
20:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
21:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
22:debug:main Running callback portstartupitem::add_notes
23:debug:main Finished running callback portstartupitem::add_notes
24:debug:main No need to upgrade! pkgconfig 0.29.2_0 >= pkgconfig 0.29.2_0
25:debug:main epoch: in tree: 0 installed: 0
26:debug:main libiconv 1.16_0 exists in the ports tree
27:debug:main libiconv 1.16_0  is the latest installed
28:debug:main libiconv 1.16_0  is active
29:debug:main Merging existing variants '' into variants
30:debug:main new fully merged portvariants:
31:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/libiconv
32:debug:main OS darwin/19.0.0 (macOS 10.15) arch i386
33:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
34:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
35:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
36:debug:main universal variant already exists, so not adding the default one
37:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
38:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
39:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
40:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
41:debug:main Running callback portstartupitem::add_notes
42:debug:main Finished running callback portstartupitem::add_notes
43:debug:main No need to upgrade! libiconv 1.16_0 >= libiconv 1.16_0
44:debug:main epoch: in tree: 0 installed: 0
45:debug:main qdbm 1.8.78_0 exists in the ports tree
46:debug:main qdbm 1.8.78_0  is the latest installed
47:debug:main qdbm 1.8.78_0  is active
48:debug:main Merging existing variants '' into variants
49:debug:main new fully merged portvariants:
50:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/databases/qdbm
51:debug:main OS darwin/19.0.0 (macOS 10.15) arch i386
52:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
53:debug:main adding the default universal variant
54:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
55:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
56:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
57:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
58:debug:main Running callback portstartupitem::add_notes
59:debug:main Finished running callback portstartupitem::add_notes
60:debug:main No need to upgrade! qdbm 1.8.78_0 >= qdbm 1.8.78_0
61:debug:main epoch: in tree: 0 installed: 0
62:debug:main zlib 1.2.11_0 exists in the ports tree
63:debug:main zlib 1.2.11_0  is the latest installed
64:debug:main zlib 1.2.11_0  is active
65:debug:main Merging existing variants '' into variants
66:debug:main new fully merged portvariants:
67:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/zlib
68:debug:main OS darwin/19.0.0 (macOS 10.15) arch i386
69:debug:main Sourcing PortGroup xcodeversion 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/xcodeversion-1.0.tcl
70:debug:main adding the default universal variant
71:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
72:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
73:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
74:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
75:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
76:debug:main Running callback portstartupitem::add_notes
77:debug:main Finished running callback portstartupitem::add_notes
78:debug:main No need to upgrade! zlib 1.2.11_0 >= zlib 1.2.11_0
79:msg:main --->  Computing dependencies for hyperestraier:info:main .:debug:main Searching for dependency: pkgconfig
80:debug:main Found Dependency: receipt exists for pkgconfig
81:debug:main Searching for dependency: qdbm
82:debug:main Found Dependency: receipt exists for qdbm
83:debug:main Searching for dependency: libiconv
84:debug:main Found Dependency: receipt exists for libiconv
85:debug:main Searching for dependency: zlib
86:debug:main Found Dependency: receipt exists for zlib
87:debug:main Executing org.macports.main (hyperestraier)
88:debug:main dropping privileges: euid changed to 504, egid changed to 501.
89:debug:fetch fetch phase started at Wed Nov 20 10:14:06 JST 2019
90:notice:fetch --->  Fetching distfiles for hyperestraier
91:debug:fetch Executing org.macports.fetch (hyperestraier)
92:debug:fetch Privilege de-escalation not attempted as not running as root.
93:debug:checksum checksum phase started at Wed Nov 20 10:14:06 JST 2019
94:notice:checksum --->  Verifying checksums for hyperestraier
95:debug:checksum Executing org.macports.checksum (hyperestraier)
96:info:checksum --->  Checksumming hyperestraier-1.4.13.tar.gz
97:debug:checksum Calculated (md5) is 847aefb9e23266545280378d797f3981
98:debug:checksum Correct (md5) checksum for hyperestraier-1.4.13.tar.gz
99:debug:checksum Calculated (sha1) is 21c3f325f42019fef096172105c2fc16f3e72fc0
100:debug:checksum Correct (sha1) checksum for hyperestraier-1.4.13.tar.gz
101:debug:checksum Calculated (rmd160) is 8af0af25df6d5bd45a09e9abfbb26300339b5a2c
102:debug:checksum Correct (rmd160) checksum for hyperestraier-1.4.13.tar.gz
103:debug:checksum Privilege de-escalation not attempted as not running as root.
104:debug:extract extract phase started at Wed Nov 20 10:14:06 JST 2019
105:notice:extract --->  Extracting hyperestraier
106:debug:extract Executing org.macports.extract (hyperestraier)
107:info:extract --->  Extracting hyperestraier-1.4.13.tar.gz
108:debug:extract setting option extract.args to '/opt/local/var/macports/distfiles/hyperestraier/hyperestraier-1.4.13.tar.gz'
109:debug:extract Environment:
110:debug:extract CC_PRINT_OPTIONS='YES'
111:debug:extract CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/.CC_PRINT_OPTIONS'
112:debug:extract CPATH='/opt/local/include'
113:debug:extract DEVELOPER_DIR='/Library/Developer/CommandLineTools'
114:debug:extract LIBRARY_PATH='/opt/local/lib'
115:debug:extract MACOSX_DEPLOYMENT_TARGET='10.15'
116:debug:extract SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk'
117:info:extract Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work" && /usr/bin/gzip -dc '/opt/local/var/macports/distfiles/hyperestraier/hyperestraier-1.4.13.tar.gz' | /usr/bin/tar -xf -
118:debug:extract system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work" && /usr/bin/gzip -dc '/opt/local/var/macports/distfiles/hyperestraier/hyperestraier-1.4.13.tar.gz' | /usr/bin/tar -xf -
119:debug:extract euid/egid changed to: 0/0
120:debug:extract chowned /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work to macports
121:debug:extract euid/egid changed to: 504/501
122:debug:extract Executing proc-post-org.macports.extract-extract-0
123:info:extract --->  Patching configure: s|$HOME|${prefix}|g
124:debug:extract Executing reinplace: /usr/bin/sed {s|$HOME|${prefix}|g} </opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13/configure >@file9
125:debug:extract euid/egid changed to: 0/0
126:debug:extract chowned /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13/configure to macports
127:debug:extract euid/egid changed to: 504/501
128:debug:extract euid/egid changed to: 0/0
129:debug:extract setting attributes on /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13/configure
130:debug:extract euid/egid changed to: 504/501
131:info:extract --->  Patching Makefile.in: s|$(HOME)|$(prefix)|g
132:debug:extract Executing reinplace: /usr/bin/sed {s|$(HOME)|$(prefix)|g} </opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13/Makefile.in >@file9
133:debug:extract euid/egid changed to: 0/0
134:debug:extract chowned /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13/Makefile.in to macports
135:debug:extract euid/egid changed to: 504/501
136:debug:extract euid/egid changed to: 0/0
137:debug:extract setting attributes on /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13/Makefile.in
138:debug:extract euid/egid changed to: 504/501
139:debug:extract Privilege de-escalation not attempted as not running as root.
140:debug:patch patch phase started at Wed Nov 20 10:14:06 JST 2019
141:debug:patch Executing org.macports.patch (hyperestraier)
142:debug:patch Privilege de-escalation not attempted as not running as root.
143:debug:configure configure phase started at Wed Nov 20 10:14:06 JST 2019
144:notice:configure --->  Configuring hyperestraier
145:debug:configure Preferred compilers: clang macports-clang-9.0 macports-clang-8.0 macports-clang-7.0 macports-clang-6.0 macports-clang-5.0
146:debug:configure Using compiler 'Xcode Clang'
147:debug:configure Executing org.macports.configure (hyperestraier)
148:debug:configure Environment:
149:debug:configure CC='/usr/bin/clang'
150:debug:configure CC_PRINT_OPTIONS='YES'
151:debug:configure CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/.CC_PRINT_OPTIONS'
152:debug:configure CFLAGS='-pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64'
153:debug:configure CPATH='/opt/local/include'
154:debug:configure CPPFLAGS='-I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk'
155:debug:configure CXX='/usr/bin/clang++'
156:debug:configure CXXFLAGS='-pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64'
157:debug:configure DEVELOPER_DIR='/Library/Developer/CommandLineTools'
158:debug:configure F90FLAGS='-pipe -Os -m64'
159:debug:configure FCFLAGS='-pipe -Os -m64'
160:debug:configure FFLAGS='-pipe -Os -m64'
161:debug:configure INSTALL='/usr/bin/install -c'
162:debug:configure LDFLAGS='-L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64'
163:debug:configure LIBRARY_PATH='/opt/local/lib'
164:debug:configure MACOSX_DEPLOYMENT_TARGET='10.15'
165:debug:configure OBJC='/usr/bin/clang'
166:debug:configure OBJCFLAGS='-pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64'
167:debug:configure OBJCXX='/usr/bin/clang++'
168:debug:configure OBJCXXFLAGS='-pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64'
169:debug:configure SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk'
170:info:configure Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13" && ./configure --prefix=/opt/local --mandir=/opt/local/share/man --datadir=/opt/local/share/doc
171:debug:configure system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13" && ./configure --prefix=/opt/local --mandir=/opt/local/share/man --datadir=/opt/local/share/doc
172:info:configure #================================================================
173:info:configure # Configuring Hyper Estraier version 1.4.13.
174:info:configure #================================================================
175:info:configure checking for gcc... /usr/bin/clang
176:info:configure checking for C compiler default output file name... a.out
177:info:configure checking whether the C compiler works... yes
178:info:configure checking whether we are cross compiling... no
179:info:configure checking for suffix of executables...
180:info:configure checking for suffix of object files... o
181:info:configure checking whether we are using the GNU C compiler... yes
182:info:configure checking whether /usr/bin/clang accepts -g... yes
183:info:configure checking for /usr/bin/clang option to accept ANSI C... none needed
184:info:configure Configured with: --prefix=/Library/Developer/CommandLineTools/usr --with-gxx-include-dir=/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk/usr/include/c++/4.2.1
185:info:configure checking for main in -lc... yes
186:info:configure checking for main in -lm... yes
187:info:configure checking for main in -lregex... no
188:info:configure checking for main in -liconv... yes
189:info:configure checking for main in -lz... yes
190:info:configure checking for main in -lqdbm... yes
191:info:configure checking for main in -lpthread... yes
192:info:configure checking for main in -lnsl... no
193:info:configure checking for main in -lsocket... no
194:info:configure checking for main in -lresolv... yes
195:info:configure checking the version of QDBM ... ok (1.8.78)
196:info:configure configure: creating ./config.status
197:info:configure config.status: creating Makefile
198:info:configure config.status: creating estconfig
199:info:configure config.status: creating hyperestraier.pc
200:info:configure #================================================================
201:info:configure # Ready to make.
202:info:configure #================================================================
203:debug:configure Privilege de-escalation not attempted as not running as root.
204:debug:build build phase started at Wed Nov 20 10:14:09 JST 2019
205:notice:build --->  Building hyperestraier
206:debug:build Executing org.macports.build (hyperestraier)
207:debug:build Environment:
208:debug:build CC_PRINT_OPTIONS='YES'
209:debug:build CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/.CC_PRINT_OPTIONS'
210:debug:build CPATH='/opt/local/include'
211:debug:build DEVELOPER_DIR='/Library/Developer/CommandLineTools'
212:debug:build LIBRARY_PATH='/opt/local/lib'
213:debug:build MACOSX_DEPLOYMENT_TARGET='10.15'
214:debug:build SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk'
215:info:build Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13" && /usr/bin/make -j4 -w mac
216:debug:build system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13" && /usr/bin/make -j4 -w mac
217:info:build make: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13'
218:info:build make MYLIBS="libestraier.a libestraier.8.38.0.dylib libestraier.8.dylib libestraier.dylib" CFLAGS="-Wall -fsigned-char -fno-common -O2 -DNDEBUG"
219:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13'
220:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estraier.c
221:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estmtdb.c
222:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estnode.c
223:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG md5.c
224:info:build estnode.c:1412:58: warning: passing 'int *' to parameter of type 'socklen_t *' (aka 'unsigned int *') converts between pointers to integer types with different sign [-Wpointer-sign]
225:info:build   if((clsock = accept(sock, (struct sockaddr *)&address, &socklen)) >= 0){
226:info:build                                                          ^~~~~~~~
227:info:build /Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk/usr/include/sys/socket.h:691:73: note: passing argument to parameter here
228:info:build int     accept(int, struct sockaddr * __restrict, socklen_t * __restrict)
229:info:build                                                                         ^
230:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estcmd.c
231:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG mymorph.c
232:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estmttest.c
233:info:build 1 warning generated.
234:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estmaster.c
235:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG mastermod.c
236:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estbutler.c
237:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estcall.c
238:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estwaver.c
239:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG wavermod.c
240:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estload.c
241:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estseek.c
242:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estfraud.c
243:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estproxy.c
244:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estscout.c
245:info:build /usr/bin/clang -c -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -I. -I/opt/local/include -I/opt/local/include -I/usr/local/include -I/usr/include/qdbm  -I/opt/local/include -D_XOPEN_SOURCE_EXTENDED=1 -D_GNU_SOURCE=1 -D__EXTENSIONS__=1 -D_HPUX_SOURCE=1 -DPIC=1 -D_THREAD_SAFE=1 -D_REENTRANT=1 -DNDEBUG -Wall -fsigned-char -fno-common -O2 -DNDEBUG estsupt.c
246:info:build ar rv libestraier.a estraier.o estmtdb.o estnode.o md5.o
247:info:build /usr/bin/clang -dynamiclib -o libestraier.8.38.0.dylib \
248:info:build       -install_name /opt/local/lib/libestraier.8.dylib \
249:info:build       -current_version 8.38.0 \
250:info:build       -compatibility_version 8 \
251:info:build       estraier.o estmtdb.o estnode.o md5.o -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64 -L. -L/opt/local/lib -L/opt/local/lib -L/usr/local/lib  -L/opt/local/lib -lresolv  -lpthread  -lqdbm -lz -liconv -lm -lc
252:info:build ar: creating archive libestraier.a
253:info:build a - estraier.o
254:info:build a - estmtdb.o
255:info:build a - estnode.o
256:info:build a - md5.o
257:info:build ln -f -s libestraier.8.38.0.dylib libestraier.8.dylib
258:info:build ln -f -s libestraier.8.38.0.dylib libestraier.dylib
259:info:build LD_RUN_PATH=/lib:/usr/lib:/opt/local/lib:/opt/local/lib:/usr/local/lib:/opt/local/lib:/opt/local/lib:. /usr/bin/clang -Wall -fsigned-char -fno-common -O2 -DNDEBUG -o estcmd estcmd.o mymorph.o \
260:info:build       -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64 -L. -L/opt/local/lib -L/opt/local/lib -L/usr/local/lib  -L/opt/local/lib -lestraier  -lresolv  -lpthread  -lqdbm -lz -liconv -lm -lc
261:info:build LD_RUN_PATH=/lib:/usr/lib:/opt/local/lib:/opt/local/lib:/usr/local/lib:/opt/local/lib:/opt/local/lib:. /usr/bin/clang -Wall -fsigned-char -fno-common -O2 -DNDEBUG -o estmttest estmttest.o \
262:info:build       -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64 -L. -L/opt/local/lib -L/opt/local/lib -L/usr/local/lib  -L/opt/local/lib -lestraier  -lresolv  -lpthread  -lqdbm -lz -liconv -lm -lc
263:info:build LD_RUN_PATH=/lib:/usr/lib:/opt/local/lib:/opt/local/lib:/usr/local/lib:/opt/local/lib:/opt/local/lib:. /usr/bin/clang -Wall -fsigned-char -fno-common -O2 -DNDEBUG -o estmaster estmaster.o mastermod.o mymorph.o \
264:info:build       -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64 -L. -L/opt/local/lib -L/opt/local/lib -L/usr/local/lib  -L/opt/local/lib -lestraier  -lresolv  -lpthread  -lqdbm -lz -liconv -lm -lc
265:info:build LD_RUN_PATH=/lib:/usr/lib:/opt/local/lib:/opt/local/lib:/usr/local/lib:/opt/local/lib:/opt/local/lib:. /usr/bin/clang -Wall -fsigned-char -fno-common -O2 -DNDEBUG -o estbutler estbutler.o mastermod.o \
266:info:build       -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64 -L. -L/opt/local/lib -L/opt/local/lib -L/usr/local/lib  -L/opt/local/lib -lestraier  -lresolv  -lpthread  -lqdbm -lz -liconv -lm -lc
267:info:build LD_RUN_PATH=/lib:/usr/lib:/opt/local/lib:/opt/local/lib:/usr/local/lib:/opt/local/lib:/opt/local/lib:. /usr/bin/clang -Wall -fsigned-char -fno-common -O2 -DNDEBUG -o estcall estcall.o \
268:info:build       -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64 -L. -L/opt/local/lib -L/opt/local/lib -L/usr/local/lib  -L/opt/local/lib -lestraier  -lresolv  -lpthread  -lqdbm -lz -liconv -lm -lc
269:info:build LD_RUN_PATH=/lib:/usr/lib:/opt/local/lib:/opt/local/lib:/usr/local/lib:/opt/local/lib:/opt/local/lib:. /usr/bin/clang -Wall -fsigned-char -fno-common -O2 -DNDEBUG -o estwaver estwaver.o wavermod.o mymorph.o \
270:info:build       -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64 -L. -L/opt/local/lib -L/opt/local/lib -L/usr/local/lib  -L/opt/local/lib -lestraier  -lresolv  -lpthread  -lqdbm -lz -liconv -lm -lc
271:info:build LD_RUN_PATH=/lib:/usr/lib:/opt/local/lib:/opt/local/lib:/usr/local/lib:/opt/local/lib:/opt/local/lib:. /usr/bin/clang -Wall -fsigned-char -fno-common -O2 -DNDEBUG -o estload estload.o \
272:info:build       -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64 -L. -L/opt/local/lib -L/opt/local/lib -L/usr/local/lib  -L/opt/local/lib -lestraier  -lresolv  -lpthread  -lqdbm -lz -liconv -lm -lc
273:info:build LD_RUN_PATH=/lib:/usr/lib:/opt/local/lib:/opt/local/lib:/usr/local/lib:/opt/local/lib:/opt/local/lib:. /usr/bin/clang -Wall -fsigned-char -fno-common -O2 -DNDEBUG -o estseek.cgi estseek.o \
274:info:build       -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64 -L. -L/opt/local/lib -L/opt/local/lib -L/usr/local/lib  -L/opt/local/lib -lestraier  -lresolv  -lpthread  -lqdbm -lz -liconv -lm -lc
275:info:build LD_RUN_PATH=/lib:/usr/lib:/opt/local/lib:/opt/local/lib:/usr/local/lib:/opt/local/lib:/opt/local/lib:. /usr/bin/clang -Wall -fsigned-char -fno-common -O2 -DNDEBUG -o estfraud.cgi estfraud.o mastermod.o mymorph.o \
276:info:build       -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64 -L. -L/opt/local/lib -L/opt/local/lib -L/usr/local/lib  -L/opt/local/lib -lestraier  -lresolv  -lpthread  -lqdbm -lz -liconv -lm -lc
277:info:build LD_RUN_PATH=/lib:/usr/lib:/opt/local/lib:/opt/local/lib:/usr/local/lib:/opt/local/lib:/opt/local/lib:. /usr/bin/clang -Wall -fsigned-char -fno-common -O2 -DNDEBUG -o estproxy.cgi estproxy.o \
278:info:build       -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64 -L. -L/opt/local/lib -L/opt/local/lib -L/usr/local/lib  -L/opt/local/lib -lestraier  -lresolv  -lpthread  -lqdbm -lz -liconv -lm -lc
279:info:build LD_RUN_PATH=/lib:/usr/lib:/opt/local/lib:/opt/local/lib:/usr/local/lib:/opt/local/lib:/opt/local/lib:. /usr/bin/clang -Wall -fsigned-char -fno-common -O2 -DNDEBUG -o estscout.cgi estscout.o \
280:info:build       -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64 -L. -L/opt/local/lib -L/opt/local/lib -L/usr/local/lib  -L/opt/local/lib -lestraier  -lresolv  -lpthread  -lqdbm -lz -liconv -lm -lc
281:info:build LD_RUN_PATH=/lib:/usr/lib:/opt/local/lib:/opt/local/lib:/usr/local/lib:/opt/local/lib:/opt/local/lib:. /usr/bin/clang -Wall -fsigned-char -fno-common -O2 -DNDEBUG -o estsupt.cgi estsupt.o \
282:info:build       -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk -arch x86_64 -L. -L/opt/local/lib -L/opt/local/lib -L/usr/local/lib  -L/opt/local/lib -lestraier  -lresolv  -lpthread  -lqdbm -lz -liconv -lm -lc
283:info:build #================================================================
284:info:build # Ready to install.
285:info:build #================================================================
286:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13'
287:info:build make: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13'
288:debug:build Privilege de-escalation not attempted as not running as root.
289:debug:test test phase started at Wed Nov 20 10:14:15 JST 2019
290:notice:test --->  Testing hyperestraier
291:debug:test Executing org.macports.test (hyperestraier)
292:debug:test Environment:
293:debug:test CC_PRINT_OPTIONS='YES'
294:debug:test CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/.CC_PRINT_OPTIONS'
295:debug:test CPATH='/opt/local/include'
296:debug:test DEVELOPER_DIR='/Library/Developer/CommandLineTools'
297:debug:test LIBRARY_PATH='/opt/local/lib'
298:debug:test MACOSX_DEPLOYMENT_TARGET='10.15'
299:debug:test SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk'
300:info:test Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13" && /usr/bin/make check-mac
301:debug:test system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13" && /usr/bin/make check-mac
302:info:test make RUNENV="DYLD_LIBRARY_PATH=." check
303:info:test rm -rf casket casket-*
304:info:test DYLD_LIBRARY_PATH=.  ./estcmd create -tr -xl -attr '@uri' seq -attr '@title' str \
305:info:test        -attr '@author' str -attr '@mdate' num -attr '@size' num casket
306:info:test make[1]: *** [check] Segmentation fault: 11
307:info:test make: *** [check-mac] Error 2
308:info:test Command failed:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13" && /usr/bin/make check-mac
309:info:test Exit code: 2
310:error:test Failed to test hyperestraier: command execution failed
311:debug:test Error code: CHILDSTATUS 82086 2
312:debug:test Backtrace: command execution failed
313:debug:test     while executing
314:debug:test "system {*}$notty {*}$nice $fullcmdstring"
315:debug:test     invoked from within
316:debug:test "command_exec test"
317:debug:test     (procedure "porttest::test_main" line 4)
318:debug:test     invoked from within
319:debug:test "$procedure $targetname"
320:error:test See /opt/local/var/macports/logs/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/main.log for details.
321version:1
322:debug:sysinfo macOS 10.15 (darwin/19.0.0) arch i386
323:debug:sysinfo MacPorts 2.6.1
324:debug:sysinfo Xcode 11.2.1
325:debug:sysinfo SDK 10.15
326:debug:sysinfo MACOSX_DEPLOYMENT_TARGET: 10.15
327:debug:main epoch: in tree: 0 installed: 0
328:debug:main pkgconfig 0.29.2_0 exists in the ports tree
329:debug:main pkgconfig 0.29.2_0  is the latest installed
330:debug:main pkgconfig 0.29.2_0  is active
331:debug:main Merging existing variants '' into variants
332:debug:main new fully merged portvariants:
333:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/pkgconfig
334:debug:main OS darwin/19.0.0 (macOS 10.15) arch i386
335:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
336:debug:main adding the default universal variant
337:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
338:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
339:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
340:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
341:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
342:debug:main Running callback portstartupitem::add_notes
343:debug:main Finished running callback portstartupitem::add_notes
344:debug:main No need to upgrade! pkgconfig 0.29.2_0 >= pkgconfig 0.29.2_0
345:debug:main epoch: in tree: 0 installed: 0
346:debug:main libiconv 1.16_0 exists in the ports tree
347:debug:main libiconv 1.16_0  is the latest installed
348:debug:main libiconv 1.16_0  is active
349:debug:main Merging existing variants '' into variants
350:debug:main new fully merged portvariants:
351:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/libiconv
352:debug:main OS darwin/19.0.0 (macOS 10.15) arch i386
353:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
354:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
355:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
356:debug:main universal variant already exists, so not adding the default one
357:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
358:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
359:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
360:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
361:debug:main Running callback portstartupitem::add_notes
362:debug:main Finished running callback portstartupitem::add_notes
363:debug:main No need to upgrade! libiconv 1.16_0 >= libiconv 1.16_0
364:debug:main epoch: in tree: 0 installed: 0
365:debug:main qdbm 1.8.78_0 exists in the ports tree
366:debug:main qdbm 1.8.78_0  is the latest installed
367:debug:main qdbm 1.8.78_0  is active
368:debug:main Merging existing variants '' into variants
369:debug:main new fully merged portvariants:
370:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/databases/qdbm
371:debug:main OS darwin/19.0.0 (macOS 10.15) arch i386
372:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
373:debug:main adding the default universal variant
374:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
375:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
376:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
377:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
378:debug:main Running callback portstartupitem::add_notes
379:debug:main Finished running callback portstartupitem::add_notes
380:debug:main No need to upgrade! qdbm 1.8.78_0 >= qdbm 1.8.78_0
381:debug:main epoch: in tree: 0 installed: 0
382:debug:main zlib 1.2.11_0 exists in the ports tree
383:debug:main zlib 1.2.11_0  is the latest installed
384:debug:main zlib 1.2.11_0  is active
385:debug:main Merging existing variants '' into variants
386:debug:main new fully merged portvariants:
387:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/archivers/zlib
388:debug:main OS darwin/19.0.0 (macOS 10.15) arch i386
389:debug:main Sourcing PortGroup xcodeversion 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/xcodeversion-1.0.tcl
390:debug:main adding the default universal variant
391:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
392:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
393:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
394:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
395:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
396:debug:main Running callback portstartupitem::add_notes
397:debug:main Finished running callback portstartupitem::add_notes
398:debug:main No need to upgrade! zlib 1.2.11_0 >= zlib 1.2.11_0
399:msg:main --->  Computing dependencies for hyperestraier:info:main .:debug:main Searching for dependency: pkgconfig
400:debug:main Found Dependency: receipt exists for pkgconfig
401:debug:main Searching for dependency: qdbm
402:debug:main Found Dependency: receipt exists for qdbm
403:debug:main Searching for dependency: libiconv
404:debug:main Found Dependency: receipt exists for libiconv
405:debug:main Searching for dependency: zlib
406:debug:main Found Dependency: receipt exists for zlib
407:debug:main Executing org.macports.main (hyperestraier)
408:debug:main dropping privileges: euid changed to 504, egid changed to 501.
409:debug:main Skipping completed org.macports.fetch (hyperestraier)
410:debug:main Privilege de-escalation not attempted as not running as root.
411:debug:main Skipping completed org.macports.checksum (hyperestraier)
412:debug:main Privilege de-escalation not attempted as not running as root.
413:debug:main Skipping completed org.macports.extract (hyperestraier)
414:debug:main Privilege de-escalation not attempted as not running as root.
415:debug:main Skipping completed org.macports.patch (hyperestraier)
416:debug:main Privilege de-escalation not attempted as not running as root.
417:debug:main Skipping completed org.macports.configure (hyperestraier)
418:debug:main Privilege de-escalation not attempted as not running as root.
419:debug:main Skipping completed org.macports.build (hyperestraier)
420:debug:main Privilege de-escalation not attempted as not running as root.
421:debug:test test phase started at Thu Nov 21 10:04:02 JST 2019
422:notice:test --->  Testing hyperestraier
423:debug:test Executing org.macports.test (hyperestraier)
424:debug:test Environment:
425:debug:test CC_PRINT_OPTIONS='YES'
426:debug:test CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/.CC_PRINT_OPTIONS'
427:debug:test CPATH='/opt/local/include'
428:debug:test DEVELOPER_DIR='/Library/Developer/CommandLineTools'
429:debug:test LIBRARY_PATH='/opt/local/lib'
430:debug:test MACOSX_DEPLOYMENT_TARGET='10.15'
431:debug:test SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk'
432:info:test Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13" && /usr/bin/make check-mac
433:debug:test system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13" && /usr/bin/make check-mac
434:info:test make RUNENV="DYLD_LIBRARY_PATH=." check
435:info:test rm -rf casket casket-*
436:info:test DYLD_LIBRARY_PATH=.  ./estcmd create -tr -xl -attr '@uri' seq -attr '@title' str \
437:info:test        -attr '@author' str -attr '@mdate' num -attr '@size' num casket
438:info:test make[1]: *** [check] Segmentation fault: 11
439:info:test make: *** [check-mac] Error 2
440:info:test Command failed:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/work/hyperestraier-1.4.13" && /usr/bin/make check-mac
441:info:test Exit code: 2
442:error:test Failed to test hyperestraier: command execution failed
443:debug:test Error code: CHILDSTATUS 93517 2
444:debug:test Backtrace: command execution failed
445:debug:test     while executing
446:debug:test "system {*}$notty {*}$nice $fullcmdstring"
447:debug:test     invoked from within
448:debug:test "command_exec test"
449:debug:test     (procedure "porttest::test_main" line 4)
450:debug:test     invoked from within
451:debug:test "$procedure $targetname"
452:error:test See /opt/local/var/macports/logs/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_textproc_hyperestraier/hyperestraier/main.log for details.