Ticket #57354: main.log

File main.log, 162.8 KB (added by mf2k (Frank Schima), 6 years ago)
Line 
1version:1
2:debug:sysinfo macOS 10.14 (darwin/18.0.0) arch i386
3:debug:sysinfo MacPorts 2.5.99
4:debug:sysinfo Xcode 10.0
5:debug:sysinfo SDK 10.14
6:debug:sysinfo MACOSX_DEPLOYMENT_TARGET: 10.14
7:debug:main epoch: in tree: 2 installed: 2
8:debug:main gcc8 8.2.0_2 exists in the ports tree
9:debug:main gcc8 8.2.0_2  is the latest installed
10:debug:main gcc8 8.2.0_2  is active
11:debug:main Merging existing variants '' into variants
12:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
13:debug:main Changing to port directory: /opt/mports/macports-ports/lang/gcc8
14:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
15:debug:main Sourcing PortGroup select 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/select-1.0.tcl
16:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
17:debug:main compiler llvm-gcc-4.2 blacklisted because it's not installed or it doesn't work
18:debug:main compiler clang 1000.11.45.2 not blacklisted because it doesn't match {clang < 300}
19:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
20:debug:main universal variant already exists, so not adding the default one
21:debug:main Requested variant +gcc8 is not provided by port gcc8.
22:debug:main Requested variant +optimizations is not provided by port gcc8.
23:debug:main Requested variant +python36 is not provided by port gcc8.
24:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
25:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
26:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
27:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
28:debug:main Running callback portstartupitem::add_notes
29:debug:main Finished running callback portstartupitem::add_notes
30:debug:main No need to upgrade! gcc8 8.2.0_2 >= gcc8 8.2.0_2
31:debug:main epoch: in tree: 0 installed: 0
32:debug:main cctools 895_7 exists in the ports tree
33:debug:main cctools 895_7 +xcode is the latest installed
34:debug:main cctools 895_7 +xcode is active
35:debug:main Merging existing variants '+xcode' into variants
36:debug:main new fully merged portvariants: gcc8 + optimizations + python36 + xcode +
37:debug:main Changing to port directory: /opt/mports/macports-ports/devel/cctools
38:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
39:debug:main universal_variant is false, so not adding the default universal variant
40:debug:main Requested variant +gcc8 is not provided by port cctools.
41:debug:main Requested variant +optimizations is not provided by port cctools.
42:debug:main Requested variant +python36 is not provided by port cctools.
43:debug:main Executing variant xcode provides xcode
44:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
45:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
46:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
47:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
48:debug:main Running callback portstartupitem::add_notes
49:debug:main Finished running callback portstartupitem::add_notes
50:debug:main No need to upgrade! cctools 895_7 >= cctools 895_7
51:debug:main epoch: in tree: 0 installed: 0
52:debug:main gmp 6.1.2_1 exists in the ports tree
53:debug:main gmp 6.1.2_1  is the latest installed
54:debug:main gmp 6.1.2_1  is active
55:debug:main Merging existing variants '' into variants
56:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
57:debug:main Changing to port directory: /opt/mports/macports-ports/devel/gmp
58:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
59:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
60:debug:main Sourcing PortGroup muniversal 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/muniversal-1.0.tcl
61:debug:main universal variant already exists, so not adding the default one
62:debug:main Requested variant +gcc8 is not provided by port gmp.
63:debug:main Requested variant +optimizations is not provided by port gmp.
64:debug:main Requested variant +python36 is not provided by port gmp.
65:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
66:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
67:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
68:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
69:debug:main Running callback portstartupitem::add_notes
70:debug:main Finished running callback portstartupitem::add_notes
71:debug:main No need to upgrade! gmp 6.1.2_1 >= gmp 6.1.2_1
72:debug:main epoch: in tree: 2 installed: 2
73:debug:main isl 0.20_0 exists in the ports tree
74:debug:main isl 0.20_0  is the latest installed
75:debug:main isl 0.20_0  is active
76:debug:main Merging existing variants '' into variants
77:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
78:debug:main Changing to port directory: /opt/mports/macports-ports/devel/isl
79:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
80:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
81:debug:main Sourcing PortGroup muniversal 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/muniversal-1.0.tcl
82:debug:main universal variant already exists, so not adding the default one
83:debug:main Requested variant +gcc8 is not provided by port isl.
84:debug:main Requested variant +optimizations is not provided by port isl.
85:debug:main Requested variant +python36 is not provided by port isl.
86:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
87:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
88:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
89:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
90:debug:main Running callback portstartupitem::add_notes
91:debug:main Finished running callback portstartupitem::add_notes
92:debug:main No need to upgrade! isl 0.20_0 >= isl 0.20_0
93:debug:main epoch: in tree: 2 installed: 2
94:debug:main ld64 3_1 exists in the ports tree
95:debug:main ld64 3_1 +ld64_xcode is the latest installed
96:debug:main ld64 3_1 +ld64_xcode is active
97:debug:main Merging existing variants '+ld64_xcode' into variants
98:debug:main new fully merged portvariants: ld64_xcode + gcc8 + optimizations + python36 +
99:debug:main Changing to port directory: /opt/mports/macports-ports/devel/ld64
100:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
101:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
102:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
103:debug:main universal variant already exists, so not adding the default one
104:debug:main Requested variant +gcc8 is not provided by port ld64.
105:debug:main Requested variant +optimizations is not provided by port ld64.
106:debug:main Requested variant +python36 is not provided by port ld64.
107:debug:main Executing variant ld64_xcode provides ld64_xcode
108:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
109:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
110:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
111:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
112:debug:main Running callback portstartupitem::add_notes
113:debug:main Finished running callback portstartupitem::add_notes
114:debug:main No need to upgrade! ld64 3_1 >= ld64 3_1
115:debug:main epoch: in tree: 2 installed: 2
116:debug:main ld64-xcode 2_1 exists in the ports tree
117:debug:main ld64-xcode 2_1  is the latest installed
118:debug:main ld64-xcode 2_1  is active
119:debug:main Merging existing variants '' into variants
120:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
121:debug:main Changing to port directory: /opt/mports/macports-ports/devel/ld64
122:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
123:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
124:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
125:debug:main universal variant already exists, so not adding the default one
126:debug:main Requested variant +gcc8 is not provided by port ld64-xcode.
127:debug:main Requested variant +optimizations is not provided by port ld64-xcode.
128:debug:main Requested variant +python36 is not provided by port ld64-xcode.
129:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
130:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
131:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
132:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
133:debug:main Running callback portstartupitem::add_notes
134:debug:main Finished running callback portstartupitem::add_notes
135:debug:main No need to upgrade! ld64-xcode 2_1 >= ld64-xcode 2_1
136:debug:main epoch: in tree: 0 installed: 0
137:debug:main libiconv 1.15_0 exists in the ports tree
138:debug:main libiconv 1.15_0  is the latest installed
139:debug:main libiconv 1.15_0  is active
140:debug:main Merging existing variants '' into variants
141:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
142:debug:main Changing to port directory: /opt/mports/macports-ports/textproc/libiconv
143:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
144:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
145:debug:main Sourcing PortGroup muniversal 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/muniversal-1.0.tcl
146:debug:main universal variant already exists, so not adding the default one
147:debug:main Requested variant +gcc8 is not provided by port libiconv.
148:debug:main Requested variant +optimizations is not provided by port libiconv.
149:debug:main Requested variant +python36 is not provided by port libiconv.
150:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
151:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
152:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
153:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
154:debug:main Running callback portstartupitem::add_notes
155:debug:main Finished running callback portstartupitem::add_notes
156:debug:main No need to upgrade! libiconv 1.15_0 >= libiconv 1.15_0
157:debug:main epoch: in tree: 0 installed: 0
158:debug:main libmpc 1.1.0_1 exists in the ports tree
159:debug:main libmpc 1.1.0_1  is the latest installed
160:debug:main libmpc 1.1.0_1  is active
161:debug:main Merging existing variants '' into variants
162:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
163:debug:main Changing to port directory: /opt/mports/macports-ports/devel/libmpc
164:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
165:debug:main adding the default universal variant
166:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
167:debug:main Requested variant +gcc8 is not provided by port libmpc.
168:debug:main Requested variant +optimizations is not provided by port libmpc.
169:debug:main Requested variant +python36 is not provided by port libmpc.
170:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
171:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
172:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
173:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
174:debug:main Running callback portstartupitem::add_notes
175:debug:main Finished running callback portstartupitem::add_notes
176:debug:main No need to upgrade! libmpc 1.1.0_1 >= libmpc 1.1.0_1
177:debug:main epoch: in tree: 0 installed: 0
178:debug:main mpfr 4.0.1_0 exists in the ports tree
179:debug:main mpfr 4.0.1_0  is the latest installed
180:debug:main mpfr 4.0.1_0  is active
181:debug:main Merging existing variants '' into variants
182:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
183:debug:main Changing to port directory: /opt/mports/macports-ports/devel/mpfr
184:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
185:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
186:debug:main Sourcing PortGroup muniversal 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/muniversal-1.0.tcl
187:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
188:debug:main compiler clang 1000.11.45.2 not blacklisted because it doesn't match {clang == 211.10.1}
189:debug:main universal variant already exists, so not adding the default one
190:debug:main Requested variant +gcc8 is not provided by port mpfr.
191:debug:main Requested variant +optimizations is not provided by port mpfr.
192:debug:main Requested variant +python36 is not provided by port mpfr.
193:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
194:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
195:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
196:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
197:debug:main Running callback portstartupitem::add_notes
198:debug:main Finished running callback portstartupitem::add_notes
199:debug:main No need to upgrade! mpfr 4.0.1_0 >= mpfr 4.0.1_0
200:debug:main epoch: in tree: 0 installed: 0
201:debug:main zlib 1.2.11_0 exists in the ports tree
202:debug:main zlib 1.2.11_0  is the latest installed
203:debug:main zlib 1.2.11_0  is active
204:debug:main Merging existing variants '' into variants
205:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
206:debug:main Changing to port directory: /opt/mports/macports-ports/archivers/zlib
207:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
208:debug:main Sourcing PortGroup xcodeversion 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/xcodeversion-1.0.tcl
209:debug:main adding the default universal variant
210:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
211:debug:main Requested variant +gcc8 is not provided by port zlib.
212:debug:main Requested variant +optimizations is not provided by port zlib.
213:debug:main Requested variant +python36 is not provided by port zlib.
214:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
215:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
216:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
217:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
218:debug:main Running callback portstartupitem::add_notes
219:debug:main Finished running callback portstartupitem::add_notes
220:debug:main No need to upgrade! zlib 1.2.11_0 >= zlib 1.2.11_0
221:debug:main epoch: in tree: 0 installed: 0
222:debug:main gcc_select 0.1_8 exists in the ports tree
223:debug:main gcc_select 0.1_8  is the latest installed
224:debug:main gcc_select 0.1_8  is active
225:debug:main Merging existing variants '' into variants
226:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
227:debug:main Changing to port directory: /opt/mports/macports-ports/sysutils/gcc_select
228:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
229:debug:main Sourcing PortGroup select 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/select-1.0.tcl
230:debug:main universal_variant is false, so not adding the default universal variant
231:debug:main Requested variant +gcc8 is not provided by port gcc_select.
232:debug:main Requested variant +optimizations is not provided by port gcc_select.
233:debug:main Requested variant +python36 is not provided by port gcc_select.
234:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
235:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
236:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
237:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
238:debug:main Running callback portstartupitem::add_notes
239:debug:main Finished running callback portstartupitem::add_notes
240:debug:main No need to upgrade! gcc_select 0.1_8 >= gcc_select 0.1_8
241:debug:main epoch: in tree: 3 installed: 3
242:debug:main libgcc 1.0_0 exists in the ports tree
243:debug:main libgcc 1.0_0  is the latest installed
244:debug:main libgcc 1.0_0  is active
245:debug:main Merging existing variants '' into variants
246:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
247:debug:main Changing to port directory: /opt/mports/macports-ports/lang/libgcc
248:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
249:debug:main Sourcing PortGroup select 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/select-1.0.tcl
250:debug:main universal_variant is false, so not adding the default universal variant
251:debug:main Requested variant +gcc8 is not provided by port libgcc.
252:debug:main Requested variant +optimizations is not provided by port libgcc.
253:debug:main Requested variant +python36 is not provided by port libgcc.
254:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
255:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
256:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
257:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
258:debug:main Running callback portstartupitem::add_notes
259:debug:main Finished running callback portstartupitem::add_notes
260:debug:main No need to upgrade! libgcc 1.0_0 >= libgcc 1.0_0
261:debug:main epoch: in tree: 2 installed: 2
262:debug:main libgcc8 8.2.0_1 exists in the ports tree
263:debug:main libgcc8 8.2.0_1  is the latest installed
264:debug:main libgcc8 8.2.0_1  is active
265:debug:main Merging existing variants '' into variants
266:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
267:debug:main Changing to port directory: /opt/mports/macports-ports/lang/gcc8
268:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
269:debug:main Sourcing PortGroup select 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/select-1.0.tcl
270:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
271:debug:main compiler llvm-gcc-4.2 blacklisted because it's not installed or it doesn't work
272:debug:main compiler clang 1000.11.45.2 not blacklisted because it doesn't match {clang < 300}
273:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
274:debug:main universal variant already exists, so not adding the default one
275:debug:main Requested variant +gcc8 is not provided by port libgcc8.
276:debug:main Requested variant +optimizations is not provided by port libgcc8.
277:debug:main Requested variant +python36 is not provided by port libgcc8.
278:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
279:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
280:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
281:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
282:debug:main Running callback portstartupitem::add_notes
283:debug:main Finished running callback portstartupitem::add_notes
284:debug:main No need to upgrade! libgcc8 8.2.0_1 >= libgcc8 8.2.0_1
285:msg:main --->  Computing dependencies for whizard:info:main .:debug:main whizard has no conflicts
286:debug:main Searching for dependency: ocaml
287:debug:main Didn't find receipt, going to depspec regex for: ocaml
288:debug:main Changing to port directory: /opt/mports/macports-ports/lang/ocaml
289:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
290:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
291:debug:main compiler clang 1000.11.45.2 not blacklisted because it doesn't match {clang < 400}
292:debug:main universal_variant is false, so not adding the default universal variant
293:debug:main Requested variant +gcc8 is not provided by port ocaml.
294:debug:main Requested variant +optimizations is not provided by port ocaml.
295:debug:main Requested variant +python36 is not provided by port ocaml.
296:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
297:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
298:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
299:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
300:debug:main Running callback portstartupitem::add_notes
301:debug:main Finished running callback portstartupitem::add_notes
302:debug:main Searching for dependency: gcc8
303:debug:main Found Dependency: receipt exists for gcc8
304:debug:main Searching for dependency: libgcc
305:debug:main Found Dependency: receipt exists for libgcc
306:info:main .:debug:main ocaml has no conflicts
307:debug:main Searching for dependency: ncurses
308:debug:main Found Dependency: receipt exists for ncurses
309:debug:main process_cmd aborted: error reading "stdin": interrupted system callSIGINT signal received
310:debug:main     while executing
311:debug:main "gets stdin"
312:debug:main     invoked from within
313:debug:main "try {
314:debug:main                 set input [gets stdin]
315:debug:main             } catch {*} {
316:debug:main                 # An error occurred, print a newline so the error message
317:debug:main      ..."
318:debug:main     (procedure "portclient::questions::ui_ask_yesno" line 44)
319:debug:main     invoked from within
320:debug:main "$macports::ui_options(questions_yesno) "The following dependencies will be installed: " "TestCase#2" [lsort $deplist] {y} 0"
321:debug:main     (procedure "mportexec" line 82)
322:debug:main     invoked from within
323:debug:main "mportexec $workername $target"
324:debug:main     invoked from within
325:debug:main "catch {set result [mportexec $workername $target]} result"
326:debug:main     ("uplevel" body line 66)
327:debug:main     invoked from within
328:debug:main "uplevel 1 $block"
329:debug:main     (procedure "foreachport" line 20)
330:debug:main     invoked from within
331:debug:main "foreachport $portlist {
332:debug:main         array unset portinfo
333:debug:main         # If we have a url, use that, since it's most specific
334:debug:main         # otherwise try to map the..."
335:debug:main     (procedure "action_target" line 10)
336:debug:main     invoked from within
337:debug:main "$action_proc $action $portlist [array get global_options]"
338:debug:main     (procedure "process_cmd" line 110)
339:debug:main     invoked from within
340:debug:main "process_cmd $remaining_args"
341:debug:main     ("uplevel" body line 3)
342:debug:main     invoked from within
343:debug:main "uplevel 1 $body"
344:error:main Aborted: SIGINT received.
345version:1
346:debug:sysinfo macOS 10.14 (darwin/18.0.0) arch i386
347:debug:sysinfo MacPorts 2.5.99
348:debug:sysinfo Xcode 10.0
349:debug:sysinfo SDK 10.14
350:debug:sysinfo MACOSX_DEPLOYMENT_TARGET: 10.14
351:debug:main epoch: in tree: 2 installed: 2
352:debug:main gcc8 8.2.0_2 exists in the ports tree
353:debug:main gcc8 8.2.0_2  is the latest installed
354:debug:main gcc8 8.2.0_2  is active
355:debug:main Merging existing variants '' into variants
356:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
357:debug:main Changing to port directory: /opt/mports/macports-ports/lang/gcc8
358:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
359:debug:main Sourcing PortGroup select 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/select-1.0.tcl
360:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
361:debug:main compiler llvm-gcc-4.2 blacklisted because it's not installed or it doesn't work
362:debug:main compiler clang 1000.11.45.2 not blacklisted because it doesn't match {clang < 300}
363:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
364:debug:main universal variant already exists, so not adding the default one
365:debug:main Requested variant +gcc8 is not provided by port gcc8.
366:debug:main Requested variant +optimizations is not provided by port gcc8.
367:debug:main Requested variant +python36 is not provided by port gcc8.
368:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
369:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
370:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
371:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
372:debug:main Running callback portstartupitem::add_notes
373:debug:main Finished running callback portstartupitem::add_notes
374:debug:main No need to upgrade! gcc8 8.2.0_2 >= gcc8 8.2.0_2
375:debug:main epoch: in tree: 0 installed: 0
376:debug:main cctools 895_7 exists in the ports tree
377:debug:main cctools 895_7 +xcode is the latest installed
378:debug:main cctools 895_7 +xcode is active
379:debug:main Merging existing variants '+xcode' into variants
380:debug:main new fully merged portvariants: gcc8 + optimizations + python36 + xcode +
381:debug:main Changing to port directory: /opt/mports/macports-ports/devel/cctools
382:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
383:debug:main universal_variant is false, so not adding the default universal variant
384:debug:main Requested variant +gcc8 is not provided by port cctools.
385:debug:main Requested variant +optimizations is not provided by port cctools.
386:debug:main Requested variant +python36 is not provided by port cctools.
387:debug:main Executing variant xcode provides xcode
388:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
389:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
390:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
391:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
392:debug:main Running callback portstartupitem::add_notes
393:debug:main Finished running callback portstartupitem::add_notes
394:debug:main No need to upgrade! cctools 895_7 >= cctools 895_7
395:debug:main epoch: in tree: 0 installed: 0
396:debug:main gmp 6.1.2_1 exists in the ports tree
397:debug:main gmp 6.1.2_1  is the latest installed
398:debug:main gmp 6.1.2_1  is active
399:debug:main Merging existing variants '' into variants
400:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
401:debug:main Changing to port directory: /opt/mports/macports-ports/devel/gmp
402:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
403:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
404:debug:main Sourcing PortGroup muniversal 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/muniversal-1.0.tcl
405:debug:main universal variant already exists, so not adding the default one
406:debug:main Requested variant +gcc8 is not provided by port gmp.
407:debug:main Requested variant +optimizations is not provided by port gmp.
408:debug:main Requested variant +python36 is not provided by port gmp.
409:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
410:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
411:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
412:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
413:debug:main Running callback portstartupitem::add_notes
414:debug:main Finished running callback portstartupitem::add_notes
415:debug:main No need to upgrade! gmp 6.1.2_1 >= gmp 6.1.2_1
416:debug:main epoch: in tree: 2 installed: 2
417:debug:main isl 0.20_0 exists in the ports tree
418:debug:main isl 0.20_0  is the latest installed
419:debug:main isl 0.20_0  is active
420:debug:main Merging existing variants '' into variants
421:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
422:debug:main Changing to port directory: /opt/mports/macports-ports/devel/isl
423:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
424:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
425:debug:main Sourcing PortGroup muniversal 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/muniversal-1.0.tcl
426:debug:main universal variant already exists, so not adding the default one
427:debug:main Requested variant +gcc8 is not provided by port isl.
428:debug:main Requested variant +optimizations is not provided by port isl.
429:debug:main Requested variant +python36 is not provided by port isl.
430:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
431:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
432:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
433:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
434:debug:main Running callback portstartupitem::add_notes
435:debug:main Finished running callback portstartupitem::add_notes
436:debug:main No need to upgrade! isl 0.20_0 >= isl 0.20_0
437:debug:main epoch: in tree: 2 installed: 2
438:debug:main ld64 3_1 exists in the ports tree
439:debug:main ld64 3_1 +ld64_xcode is the latest installed
440:debug:main ld64 3_1 +ld64_xcode is active
441:debug:main Merging existing variants '+ld64_xcode' into variants
442:debug:main new fully merged portvariants: ld64_xcode + gcc8 + optimizations + python36 +
443:debug:main Changing to port directory: /opt/mports/macports-ports/devel/ld64
444:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
445:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
446:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
447:debug:main universal variant already exists, so not adding the default one
448:debug:main Requested variant +gcc8 is not provided by port ld64.
449:debug:main Requested variant +optimizations is not provided by port ld64.
450:debug:main Requested variant +python36 is not provided by port ld64.
451:debug:main Executing variant ld64_xcode provides ld64_xcode
452:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
453:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
454:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
455:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
456:debug:main Running callback portstartupitem::add_notes
457:debug:main Finished running callback portstartupitem::add_notes
458:debug:main No need to upgrade! ld64 3_1 >= ld64 3_1
459:debug:main epoch: in tree: 2 installed: 2
460:debug:main ld64-xcode 2_1 exists in the ports tree
461:debug:main ld64-xcode 2_1  is the latest installed
462:debug:main ld64-xcode 2_1  is active
463:debug:main Merging existing variants '' into variants
464:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
465:debug:main Changing to port directory: /opt/mports/macports-ports/devel/ld64
466:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
467:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
468:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
469:debug:main universal variant already exists, so not adding the default one
470:debug:main Requested variant +gcc8 is not provided by port ld64-xcode.
471:debug:main Requested variant +optimizations is not provided by port ld64-xcode.
472:debug:main Requested variant +python36 is not provided by port ld64-xcode.
473:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
474:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
475:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
476:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
477:debug:main Running callback portstartupitem::add_notes
478:debug:main Finished running callback portstartupitem::add_notes
479:debug:main No need to upgrade! ld64-xcode 2_1 >= ld64-xcode 2_1
480:debug:main epoch: in tree: 0 installed: 0
481:debug:main libiconv 1.15_0 exists in the ports tree
482:debug:main libiconv 1.15_0  is the latest installed
483:debug:main libiconv 1.15_0  is active
484:debug:main Merging existing variants '' into variants
485:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
486:debug:main Changing to port directory: /opt/mports/macports-ports/textproc/libiconv
487:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
488:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
489:debug:main Sourcing PortGroup muniversal 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/muniversal-1.0.tcl
490:debug:main universal variant already exists, so not adding the default one
491:debug:main Requested variant +gcc8 is not provided by port libiconv.
492:debug:main Requested variant +optimizations is not provided by port libiconv.
493:debug:main Requested variant +python36 is not provided by port libiconv.
494:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
495:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
496:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
497:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
498:debug:main Running callback portstartupitem::add_notes
499:debug:main Finished running callback portstartupitem::add_notes
500:debug:main No need to upgrade! libiconv 1.15_0 >= libiconv 1.15_0
501:debug:main epoch: in tree: 0 installed: 0
502:debug:main libmpc 1.1.0_1 exists in the ports tree
503:debug:main libmpc 1.1.0_1  is the latest installed
504:debug:main libmpc 1.1.0_1  is active
505:debug:main Merging existing variants '' into variants
506:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
507:debug:main Changing to port directory: /opt/mports/macports-ports/devel/libmpc
508:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
509:debug:main adding the default universal variant
510:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
511:debug:main Requested variant +gcc8 is not provided by port libmpc.
512:debug:main Requested variant +optimizations is not provided by port libmpc.
513:debug:main Requested variant +python36 is not provided by port libmpc.
514:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
515:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
516:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
517:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
518:debug:main Running callback portstartupitem::add_notes
519:debug:main Finished running callback portstartupitem::add_notes
520:debug:main No need to upgrade! libmpc 1.1.0_1 >= libmpc 1.1.0_1
521:debug:main epoch: in tree: 0 installed: 0
522:debug:main mpfr 4.0.1_0 exists in the ports tree
523:debug:main mpfr 4.0.1_0  is the latest installed
524:debug:main mpfr 4.0.1_0  is active
525:debug:main Merging existing variants '' into variants
526:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
527:debug:main Changing to port directory: /opt/mports/macports-ports/devel/mpfr
528:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
529:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
530:debug:main Sourcing PortGroup muniversal 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/muniversal-1.0.tcl
531:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
532:debug:main compiler clang 1000.11.45.2 not blacklisted because it doesn't match {clang == 211.10.1}
533:debug:main universal variant already exists, so not adding the default one
534:debug:main Requested variant +gcc8 is not provided by port mpfr.
535:debug:main Requested variant +optimizations is not provided by port mpfr.
536:debug:main Requested variant +python36 is not provided by port mpfr.
537:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
538:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
539:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
540:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
541:debug:main Running callback portstartupitem::add_notes
542:debug:main Finished running callback portstartupitem::add_notes
543:debug:main No need to upgrade! mpfr 4.0.1_0 >= mpfr 4.0.1_0
544:debug:main epoch: in tree: 0 installed: 0
545:debug:main zlib 1.2.11_0 exists in the ports tree
546:debug:main zlib 1.2.11_0  is the latest installed
547:debug:main zlib 1.2.11_0  is active
548:debug:main Merging existing variants '' into variants
549:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
550:debug:main Changing to port directory: /opt/mports/macports-ports/archivers/zlib
551:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
552:debug:main Sourcing PortGroup xcodeversion 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/xcodeversion-1.0.tcl
553:debug:main adding the default universal variant
554:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
555:debug:main Requested variant +gcc8 is not provided by port zlib.
556:debug:main Requested variant +optimizations is not provided by port zlib.
557:debug:main Requested variant +python36 is not provided by port zlib.
558:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
559:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
560:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
561:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
562:debug:main Running callback portstartupitem::add_notes
563:debug:main Finished running callback portstartupitem::add_notes
564:debug:main No need to upgrade! zlib 1.2.11_0 >= zlib 1.2.11_0
565:debug:main epoch: in tree: 0 installed: 0
566:debug:main gcc_select 0.1_8 exists in the ports tree
567:debug:main gcc_select 0.1_8  is the latest installed
568:debug:main gcc_select 0.1_8  is active
569:debug:main Merging existing variants '' into variants
570:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
571:debug:main Changing to port directory: /opt/mports/macports-ports/sysutils/gcc_select
572:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
573:debug:main Sourcing PortGroup select 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/select-1.0.tcl
574:debug:main universal_variant is false, so not adding the default universal variant
575:debug:main Requested variant +gcc8 is not provided by port gcc_select.
576:debug:main Requested variant +optimizations is not provided by port gcc_select.
577:debug:main Requested variant +python36 is not provided by port gcc_select.
578:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
579:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
580:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
581:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
582:debug:main Running callback portstartupitem::add_notes
583:debug:main Finished running callback portstartupitem::add_notes
584:debug:main No need to upgrade! gcc_select 0.1_8 >= gcc_select 0.1_8
585:debug:main epoch: in tree: 3 installed: 3
586:debug:main libgcc 1.0_0 exists in the ports tree
587:debug:main libgcc 1.0_0  is the latest installed
588:debug:main libgcc 1.0_0  is active
589:debug:main Merging existing variants '' into variants
590:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
591:debug:main Changing to port directory: /opt/mports/macports-ports/lang/libgcc
592:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
593:debug:main Sourcing PortGroup select 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/select-1.0.tcl
594:debug:main universal_variant is false, so not adding the default universal variant
595:debug:main Requested variant +gcc8 is not provided by port libgcc.
596:debug:main Requested variant +optimizations is not provided by port libgcc.
597:debug:main Requested variant +python36 is not provided by port libgcc.
598:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
599:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
600:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
601:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
602:debug:main Running callback portstartupitem::add_notes
603:debug:main Finished running callback portstartupitem::add_notes
604:debug:main No need to upgrade! libgcc 1.0_0 >= libgcc 1.0_0
605:debug:main epoch: in tree: 2 installed: 2
606:debug:main libgcc8 8.2.0_1 exists in the ports tree
607:debug:main libgcc8 8.2.0_1  is the latest installed
608:debug:main libgcc8 8.2.0_1  is active
609:debug:main Merging existing variants '' into variants
610:debug:main new fully merged portvariants: gcc8 + optimizations + python36 +
611:debug:main Changing to port directory: /opt/mports/macports-ports/lang/gcc8
612:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
613:debug:main Sourcing PortGroup select 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/select-1.0.tcl
614:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
615:debug:main compiler llvm-gcc-4.2 blacklisted because it's not installed or it doesn't work
616:debug:main compiler clang 1000.11.45.2 not blacklisted because it doesn't match {clang < 300}
617:debug:main Reading variant descriptions from /opt/mports/macports-ports/_resources/port1.0/variant_descriptions.conf
618:debug:main universal variant already exists, so not adding the default one
619:debug:main Requested variant +gcc8 is not provided by port libgcc8.
620:debug:main Requested variant +optimizations is not provided by port libgcc8.
621:debug:main Requested variant +python36 is not provided by port libgcc8.
622:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
623:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
624:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
625:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
626:debug:main Running callback portstartupitem::add_notes
627:debug:main Finished running callback portstartupitem::add_notes
628:debug:main No need to upgrade! libgcc8 8.2.0_1 >= libgcc8 8.2.0_1
629:msg:main --->  Computing dependencies for whizard:info:main .:debug:main whizard has no conflicts
630:debug:main Searching for dependency: ocaml
631:debug:main Didn't find receipt, going to depspec regex for: ocaml
632:debug:main Changing to port directory: /opt/mports/macports-ports/lang/ocaml
633:debug:main OS darwin/18.0.0 (macOS 10.14) arch i386
634:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/mports/macports-ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
635:debug:main compiler clang 1000.11.45.2 not blacklisted because it doesn't match {clang < 400}
636:debug:main universal_variant is false, so not adding the default universal variant
637:debug:main Requested variant +gcc8 is not provided by port ocaml.
638:debug:main Requested variant +optimizations is not provided by port ocaml.
639:debug:main Requested variant +python36 is not provided by port ocaml.
640:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
641:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
642:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
643:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
644:debug:main Running callback portstartupitem::add_notes
645:debug:main Finished running callback portstartupitem::add_notes
646:debug:main Searching for dependency: gcc8
647:debug:main Found Dependency: receipt exists for gcc8
648:debug:main Searching for dependency: libgcc
649:debug:main Found Dependency: receipt exists for libgcc
650:info:main .:debug:main ocaml has no conflicts
651:debug:main Searching for dependency: ncurses
652:debug:main Found Dependency: receipt exists for ncurses
653:debug:main Starting logging for ocaml
654:debug:main Executing org.macports.main (whizard)
655:debug:main dropping privileges: euid changed to 501, egid changed to 511.
656:debug:archivefetch archivefetch phase started at Fri Oct 12 15:57:28 MDT 2018
657:msg:archivefetch --->  Fetching archive for whizard
658:debug:archivefetch Executing proc-pre-org.macports.archivefetch-archivefetch-0
659:debug:archivefetch Executing org.macports.archivefetch (whizard)
660:debug:archivefetch euid/egid changed to: 0/0
661:debug:archivefetch chowned /opt/local/var/macports/incoming to macports
662:debug:archivefetch euid/egid changed to: 501/511
663:info:archivefetch --->  whizard-2.6.4_0+gcc8.darwin_18.x86_64.tbz2 doesn't seem to exist in /opt/local/var/macports/incoming/verified
664:msg:archivefetch --->  Attempting to fetch whizard-2.6.4_0+gcc8.darwin_18.x86_64.tbz2 from https://packages.macports.org/whizard
665:debug:archivefetch Fetching archive failed: The requested URL returned error: 404 Not Found
666:msg:archivefetch --->  Attempting to fetch whizard-2.6.4_0+gcc8.darwin_18.x86_64.tbz2 from http://ywg.ca.packages.macports.org/mirror/macports/packages/whizard
667:debug:archivefetch Fetching archive failed: The requested URL returned error: 404 Not Found
668:msg:archivefetch --->  Attempting to fetch whizard-2.6.4_0+gcc8.darwin_18.x86_64.tbz2 from http://mse.uk.packages.macports.org/sites/packages.macports.org/whizard
669:debug:archivefetch Fetching archive failed: The requested URL returned error: 404 Not Found
670:debug:archivefetch Privilege de-escalation not attempted as not running as root.
671:debug:fetch fetch phase started at Fri Oct 12 15:57:29 MDT 2018
672:notice:fetch --->  Fetching distfiles for whizard
673:debug:fetch elevating privileges for fetch: euid changed to 0, egid changed to 0.
674:debug:fetch dropping privileges: euid changed to 501, egid changed to 511.
675:debug:fetch Executing proc-pre-org.macports.fetch-fetch-0
676:debug:fetch Executing org.macports.fetch (whizard)
677:info:fetch --->  whizard-2.6.4.tar.gz does not exist in /opt/local/var/macports/distfiles/whizard
678:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from https://distfiles.macports.org/whizard
679:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
680:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from http://sea.us.distfiles.macports.org/macports/distfiles/whizard
681:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
682:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from http://ykf.ca.distfiles.macports.org/MacPorts/mpdistfiles/whizard
683:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
684:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from http://ywg.ca.distfiles.macports.org/mirror/macports/distfiles/whizard
685:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
686:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from http://mse.uk.distfiles.macports.org/sites/distfiles.macports.org/whizard
687:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
688:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from http://lil.fr.distfiles.macports.org/whizard
689:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
690:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from http://kmq.jp.distfiles.macports.org/whizard
691:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
692:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from http://nue.de.distfiles.macports.org/whizard
693:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
694:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from http://fco.it.distfiles.macports.org/mirrors/macports-distfiles/whizard
695:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
696:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from http://cjj.kr.distfiles.macports.org/whizard
697:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
698:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from http://aarnet.au.distfiles.macports.org/pub/macports/distfiles/whizard
699:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
700:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from https://pek.cn.distfiles.macports.org/macports/distfiles/whizard
701:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
702:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from https://sha.cn.distfiles.macports.org/macports/distfiles/whizard
703:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
704:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from http://jog.id.distfiles.macports.org/macports/distfiles/whizard
705:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
706:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from http://nou.nc.distfiles.macports.org/pub/macports/distfiles.macports.org/whizard
707:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
708:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from http://jnb.za.distfiles.macports.org/distfiles/whizard
709:debug:fetch Fetching distfile failed: The requested URL returned error: 404 Not Found
710:notice:fetch --->  Attempting to fetch whizard-2.6.4.tar.gz from https://whizard.hepforge.org/downloads/
711:debug:fetch Privilege de-escalation not attempted as not running as root.
712:debug:checksum checksum phase started at Fri Oct 12 15:58:01 MDT 2018
713:notice:checksum --->  Verifying checksums for whizard
714:debug:checksum Executing org.macports.checksum (whizard)
715:info:checksum --->  Checksumming whizard-2.6.4.tar.gz
716:debug:checksum Calculated (rmd160) is 8793fcea26a40626cacb3a85fdb8e7a4ef67c0cf
717:debug:checksum Correct (rmd160) checksum for whizard-2.6.4.tar.gz
718:debug:checksum Calculated (sha256) is 58af39329f3445e36d0fbfea7010568cf3653a5b4d249cc1cd8ccfb04ceddfa5
719:debug:checksum Correct (sha256) checksum for whizard-2.6.4.tar.gz
720:debug:checksum Calculated (size) is 34683204
721:debug:checksum Correct (size) checksum for whizard-2.6.4.tar.gz
722:debug:checksum Privilege de-escalation not attempted as not running as root.
723:debug:extract extract phase started at Fri Oct 12 15:58:02 MDT 2018
724:notice:extract --->  Extracting whizard
725:debug:extract Executing org.macports.extract (whizard)
726:info:extract --->  Extracting whizard-2.6.4.tar.gz
727:debug:extract setting option extract.args to '/opt/local/var/macports/distfiles/whizard/whizard-2.6.4.tar.gz'
728:debug:extract Environment:
729:debug:extract CC_PRINT_OPTIONS='YES'
730:debug:extract CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/.CC_PRINT_OPTIONS'
731:debug:extract CPATH='/opt/local/include'
732:debug:extract LIBRARY_PATH='/opt/local/lib'
733:debug:extract MACOSX_DEPLOYMENT_TARGET='10.14'
734:info:extract Executing:  cd "/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work" && /usr/bin/gzip -dc '/opt/local/var/macports/distfiles/whizard/whizard-2.6.4.tar.gz' | /usr/bin/tar -xf -
735:debug:extract system:  cd "/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work" && /usr/bin/gzip -dc '/opt/local/var/macports/distfiles/whizard/whizard-2.6.4.tar.gz' | /usr/bin/tar -xf -
736:debug:extract euid/egid changed to: 0/0
737:debug:extract chowned /opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work to macports
738:debug:extract euid/egid changed to: 501/511
739:debug:extract Privilege de-escalation not attempted as not running as root.
740:debug:patch patch phase started at Fri Oct 12 15:58:03 MDT 2018
741:debug:patch Executing org.macports.patch (whizard)
742:debug:patch Privilege de-escalation not attempted as not running as root.
743:debug:configure configure phase started at Fri Oct 12 15:58:03 MDT 2018
744:notice:configure --->  Configuring whizard
745:debug:configure Preferred compilers: clang macports-clang-5.0 macports-clang-4.0
746:debug:configure Using compiler 'Xcode Clang'
747:debug:configure Executing proc-pre-org.macports.configure-configure-0
748:debug:configure Active variants check for source-type install considers depends_fetch depends_extract depends_lib depends_build depends_run: libgcc ocaml gcc8
749:debug:configure Executing proc-pre-org.macports.configure-configure-1
750:debug:configure compilers.enforce_c list:
751:debug:configure compilers.enforce_fortran list:
752:debug:configure compilers.enforce_some_fortran list:
753:debug:configure Executing org.macports.configure (whizard)
754:debug:configure Environment:
755:debug:configure CC='/opt/local/bin/gcc-mp-8'
756:debug:configure CC_PRINT_OPTIONS='YES'
757:debug:configure CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/.CC_PRINT_OPTIONS'
758:debug:configure CFLAGS='-pipe -Os -isysroot/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.14.sdk -arch x86_64'
759:debug:configure CPATH='/opt/local/include'
760:debug:configure CPPFLAGS='-I/opt/local/include -isysroot/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.14.sdk'
761:debug:configure CXX='/opt/local/bin/g++-mp-8'
762:debug:configure CXXFLAGS='-pipe -Os -isysroot/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.14.sdk -arch x86_64'
763:debug:configure F77='/opt/local/bin/gfortran-mp-8'
764:debug:configure F77FLAGS='-m64'
765:debug:configure F90FLAGS='-pipe -Os -m64'
766:debug:configure FC='/opt/local/bin/gfortran-mp-8'
767:debug:configure FCFLAGS='-pipe -Os -m64'
768:debug:configure FFLAGS='-pipe -Os'
769:debug:configure INSTALL='/usr/bin/install -c'
770:debug:configure LDFLAGS='-L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.14.sdk -arch x86_64'
771:debug:configure LIBRARY_PATH='/opt/local/lib'
772:debug:configure MACOSX_DEPLOYMENT_TARGET='10.14'
773:debug:configure OBJC='/usr/bin/clang'
774:debug:configure OBJCFLAGS='-pipe -Os -isysroot/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.14.sdk -arch x86_64'
775:debug:configure OBJCXX='/usr/bin/clang++'
776:debug:configure OBJCXXFLAGS='-pipe -Os -isysroot/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.14.sdk -arch x86_64'
777:info:configure Executing:  cd "/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4" && ./configure --prefix=/opt/local --enable-fc-openmp
778:debug:configure system:  cd "/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4" && ./configure --prefix=/opt/local --enable-fc-openmp
779:info:configure checking for a BSD-compatible install... /usr/bin/install -c
780:info:configure checking whether build environment is sane... yes
781:info:configure checking for a thread-safe mkdir -p... ./install-sh -c -d
782:info:configure checking for gawk... gawk
783:info:configure checking whether make sets $(MAKE)... yes
784:info:configure checking whether make supports nested variables... yes
785:info:configure checking for style of include used by make... GNU
786:info:configure checking whether make supports nested variables... (cached) yes
787:info:configure configure: **************************************************************
788:info:configure configure: --------------------------------------------------------------
789:info:configure configure: --- Start of package configuration ---
790:info:configure configure:
791:info:configure configure: **************************************************************
792:info:configure configure: Package name: WHIZARD
793:info:configure configure: Version:      2.6.4
794:info:configure configure: Date:         Aug 23 2018
795:info:configure configure: Status:       release
796:info:configure configure: **************************************************************
797:info:configure configure: --------------------------------------------------------------
798:info:configure configure: --- Generic tools ---
799:info:configure configure:
800:info:configure checking build system type... x86_64-apple-darwin18.0.0
801:info:configure checking host system type... x86_64-apple-darwin18.0.0
802:info:configure checking how to print strings... printf
803:info:configure checking for gcc... /opt/local/bin/gcc-mp-8
804:info:configure checking whether the C compiler works... yes
805:info:configure checking for C compiler default output file name... a.out
806:info:configure checking for suffix of executables...
807:info:configure checking whether we are cross compiling... no
808:info:configure checking for suffix of object files... o
809:info:configure checking whether we are using the GNU C compiler... yes
810:info:configure checking whether /opt/local/bin/gcc-mp-8 accepts -g... yes
811:info:configure checking for /opt/local/bin/gcc-mp-8 option to accept ISO C89... none needed
812:info:configure checking whether /opt/local/bin/gcc-mp-8 understands -c and -o together... yes
813:info:configure checking dependency style of /opt/local/bin/gcc-mp-8... gcc3
814:info:configure checking for a sed that does not truncate output... /opt/local/bin/gsed
815:info:configure checking for grep that handles long lines and -e... /usr/bin/grep
816:info:configure checking for egrep... /usr/bin/grep -E
817:info:configure checking for fgrep... /usr/bin/grep -F
818:info:configure checking for ld used by /opt/local/bin/gcc-mp-8... /opt/local/bin/ld
819:info:configure checking if the linker (/opt/local/bin/ld) is GNU ld... no
820:info:configure checking for BSD- or MS-compatible name lister (nm)... /opt/local/bin/nm -B
821:info:configure checking the name lister (/opt/local/bin/nm -B) interface... BSD nm
822:info:configure checking whether ln -s works... yes
823:info:configure checking the maximum length of command line arguments... 196608
824:info:configure checking how to convert x86_64-apple-darwin18.0.0 file names to x86_64-apple-darwin18.0.0 format... func_convert_file_noop
825:info:configure checking how to convert x86_64-apple-darwin18.0.0 file names to toolchain format... func_convert_file_noop
826:info:configure checking for /opt/local/bin/ld option to reload object files... -r
827:info:configure checking for objdump... objdump
828:info:configure checking how to recognize dependent libraries... pass_all
829:info:configure checking for dlltool... no
830:info:configure checking how to associate runtime and link libraries... printf %s\n
831:info:configure checking for ar... ar
832:info:configure checking for archiver @FILE support... no
833:info:configure checking for strip... strip
834:info:configure checking for ranlib... ranlib
835:info:configure checking command to parse /opt/local/bin/nm -B output from /opt/local/bin/gcc-mp-8 object... ok
836:info:configure checking for sysroot... no
837:info:configure checking for a working dd... /bin/dd
838:info:configure checking how to truncate binary pipes... /bin/dd bs=4096 count=1
839:info:configure checking for mt... no
840:info:configure checking if : is a manifest tool... no
841:info:configure checking for dsymutil... dsymutil
842:info:configure checking for nmedit... nmedit
843:info:configure checking for lipo... lipo
844:info:configure checking for otool... otool
845:info:configure checking for otool64... no
846:info:configure checking for -single_module linker flag... yes
847:info:configure checking for -exported_symbols_list linker flag... yes
848:info:configure checking for -force_load linker flag... yes
849:info:configure checking how to run the C preprocessor... /opt/local/bin/gcc-mp-8 -E
850:info:configure checking for ANSI C header files... yes
851:info:configure checking for sys/types.h... yes
852:info:configure checking for sys/stat.h... yes
853:info:configure checking for stdlib.h... yes
854:info:configure checking for string.h... yes
855:info:configure checking for memory.h... yes
856:info:configure checking for strings.h... yes
857:info:configure checking for inttypes.h... yes
858:info:configure checking for stdint.h... yes
859:info:configure checking for unistd.h... yes
860:info:configure checking for dlfcn.h... yes
861:info:configure checking for objdir... .libs
862:info:configure checking if /opt/local/bin/gcc-mp-8 supports -fno-rtti -fno-exceptions... no
863:info:configure checking for /opt/local/bin/gcc-mp-8 option to produce PIC... -fno-common -DPIC
864:info:configure checking if /opt/local/bin/gcc-mp-8 PIC flag -fno-common -DPIC works... yes
865:info:configure checking if /opt/local/bin/gcc-mp-8 static flag -static works... no
866:info:configure checking if /opt/local/bin/gcc-mp-8 supports -c -o file.o... yes
867:info:configure checking if /opt/local/bin/gcc-mp-8 supports -c -o file.o... (cached) yes
868:info:configure checking whether the /opt/local/bin/gcc-mp-8 linker (/opt/local/bin/ld) supports shared libraries... yes
869:info:configure checking dynamic linker characteristics... darwin18.0.0 dyld
870:info:configure checking how to hardcode library paths into programs... immediate
871:info:configure checking for dlopen in -ldl... yes
872:info:configure checking whether a program can dlopen itself... yes
873:info:configure checking whether a statically linked program can dlopen itself... yes
874:info:configure checking whether stripping libraries is possible... yes
875:info:configure checking if libtool supports shared libraries... yes
876:info:configure checking whether to build shared libraries... yes
877:info:configure checking whether to build static libraries... yes
878:info:configure checking for GNU make... make
879:info:configure checking for grep that handles long lines and -e... (cached) /usr/bin/grep
880:info:configure checking for the suffix of shared libraries... .dylib
881:info:configure checking whether the C compiler is the GNU compiler... yes
882:info:configure checking quadmath.h usability... yes
883:info:configure checking quadmath.h presence... yes
884:info:configure checking for quadmath.h... yes
885:info:configure configure: --------------------------------------------------------------
886:info:configure configure: Host is x86_64-apple-darwin18.0.0, checking for XCode
887:info:configure checking for xcode-select... /usr/bin/xcode-select
888:info:configure checking Xcode location... /Applications/Xcode.app/Contents/Developer
889:info:configure configure: checking for Security Integrity Protocol (SIP)
890:info:configure checking for csrutil... /usr/bin/csrutil
891:info:configure checking Checking whether MAC OS X SIP is activated... yes
892:info:configure configure: --------------------------------------------------------------
893:info:configure checking whether we want to build the distribution... no
894:info:configure checking for m4... /usr/bin/m4
895:info:configure configure: --------------------------------------------------------------
896:info:configure configure: --- Dynamic runtime linking ---
897:info:configure configure:
898:info:configure checking for gcc... (cached) /opt/local/bin/gcc-mp-8
899:info:configure checking whether we are using the GNU C compiler... (cached) yes
900:info:configure checking whether /opt/local/bin/gcc-mp-8 accepts -g... (cached) yes
901:info:configure checking for /opt/local/bin/gcc-mp-8 option to accept ISO C89... (cached) none needed
902:info:configure checking whether /opt/local/bin/gcc-mp-8 understands -c and -o together... (cached) yes
903:info:configure checking dependency style of /opt/local/bin/gcc-mp-8... (cached) gcc3
904:info:configure checking for library containing dlopen... none required
905:info:configure checking for dlfcn.h... (cached) yes
906:info:configure checking for the values of RTLD_LAZY & friends... success
907:info:configure checking for /opt/local/bin/gcc-mp-8 flag to produce position-independent code...
908:info:configure configure: --------------------------------------------------------------
909:info:configure configure: --- Checks for 'noweb' system ---
910:info:configure configure:
911:info:configure checking for notangle... no
912:info:configure checking for cpif... no
913:info:configure checking for noweave... no
914:info:configure configure: --------------------------------------------------------------
915:info:configure configure: --- Checks for 'LaTeX' system ---
916:info:configure configure:
917:info:configure checking for latex... no
918:info:configure checking for elatex... no
919:info:configure checking for lambda... no
920:info:configure checking for dvips... no
921:info:configure checking for pdflatex... no
922:info:configure checking for makeindex... no
923:info:configure checking for ps2pdf14... no
924:info:configure checking for ps2pdf13... no
925:info:configure checking for ps2pdf12... no
926:info:configure checking for ps2pdf... no
927:info:configure checking for epspdf... no
928:info:configure checking for epstopdf... no
929:info:configure configure: *********************************************************
930:info:configure configure: WARNING: eps(to)pdf n/a; O'Mega documentation will crash!
931:info:configure configure: WARNING:  this applies only to the svn developer version!
932:info:configure configure: *********************************************************
933:info:configure checking for tex... no
934:info:configure checking for supp-pdf.tex... no
935:info:configure checking for gzip... gzip
936:info:configure checking for acroread... false
937:info:configure checking for gv... false
938:info:configure checking for dot... false
939:info:configure checking for mpost... no
940:info:configure checking for metapost... no
941:info:configure checking whether we can display event analysis... no
942:info:configure checking for hevea... no
943:info:configure checking for hacha... no
944:info:configure checking for imagen... no
945:info:configure configure: --------------------------------------------------------------
946:info:configure configure: --- Fortran compiler checks ---
947:info:configure configure:
948:info:configure checking whether we are using the GNU Fortran compiler... yes
949:info:configure checking whether /opt/local/bin/gfortran-mp-8 accepts -g... yes
950:info:configure checking if libtool supports shared libraries... yes
951:info:configure checking whether to build shared libraries... yes
952:info:configure checking whether to build static libraries... yes
953:info:configure checking for /opt/local/bin/gfortran-mp-8 option to produce PIC... -fno-common
954:info:configure checking if /opt/local/bin/gfortran-mp-8 PIC flag -fno-common works... yes
955:info:configure checking if /opt/local/bin/gfortran-mp-8 static flag -static works... no
956:info:configure checking if /opt/local/bin/gfortran-mp-8 supports -c -o file.o... yes
957:info:configure checking if /opt/local/bin/gfortran-mp-8 supports -c -o file.o... (cached) yes
958:info:configure checking whether the /opt/local/bin/gfortran-mp-8 linker (/opt/local/bin/ld) supports shared libraries... yes
959:info:configure checking dynamic linker characteristics... darwin18.0.0 dyld
960:info:configure checking how to hardcode library paths into programs... immediate
961:info:configure checking whether we are using the GNU Fortran 77 compiler... yes
962:info:configure checking whether /opt/local/bin/gfortran-mp-8 accepts -g... yes
963:info:configure checking if libtool supports shared libraries... yes
964:info:configure checking whether to build shared libraries... yes
965:info:configure checking whether to build static libraries... yes
966:info:configure checking for /opt/local/bin/gfortran-mp-8 option to produce PIC... -fno-common
967:info:configure checking if /opt/local/bin/gfortran-mp-8 PIC flag -fno-common works... yes
968:info:configure checking if /opt/local/bin/gfortran-mp-8 static flag -static works... no
969:info:configure checking if /opt/local/bin/gfortran-mp-8 supports -c -o file.o... yes
970:info:configure checking if /opt/local/bin/gfortran-mp-8 supports -c -o file.o... (cached) yes
971:info:configure checking whether the /opt/local/bin/gfortran-mp-8 linker (/opt/local/bin/ld) supports shared libraries... yes
972:info:configure checking dynamic linker characteristics... darwin18.0.0 dyld
973:info:configure checking how to hardcode library paths into programs... immediate
974:info:configure checking the compiler ID string... GNU Fortran (MacPorts gcc8 8.2.0_2) 8.2.0
975:info:configure checking the compiler vendor... gfortran
976:info:configure checking the compiler version... 8.2.0
977:info:configure checking the major version... 8
978:info:configure checking for Fortran flag to compile .f90 files... none
979:info:configure checking for /opt/local/bin/gfortran-mp-8 flags... -pipe -Os -m64
980:info:configure checking for /opt/local/bin/gfortran-mp-8 flag to produce position-independent code...  -fno-common
981:info:configure checking for /opt/local/bin/gfortran-mp-8 source extension... f90
982:info:configure checking for object file extension... o
983:info:configure checking whether /opt/local/bin/gfortran-mp-8 supports OpenMP... yes
984:info:configure checking the default number of threads used by OpenMP... (cached) 8
985:info:configure checking whether OpenMP is activated... yes
986:info:configure checking how to get verbose linking output from /opt/local/bin/gfortran-mp-8... -v
987:info:configure checking for Fortran libraries of /opt/local/bin/gfortran-mp-8...  -L/opt/local/lib -L/opt/local/lib/gcc8/gcc/x86_64-apple-darwin18/8.2.0 -L/opt/local/lib/gcc8/gcc/x86_64-apple-darwin18/8.2.0/../../.. -lgfortran -lquadmath -lm
988:info:configure checking whether /opt/local/bin/gfortran-mp-8 supports Fortran 95 features... yes
989:info:configure checking whether /opt/local/bin/gfortran-mp-8 supports allocatable subobjects... yes
990:info:configure checking whether /opt/local/bin/gfortran-mp-8 supports allocatable scalars... yes
991:info:configure checking whether /opt/local/bin/gfortran-mp-8 supports ISO C binding and standard numeric types... yes
992:info:configure checking whether /opt/local/bin/gfortran-mp-8 supports procedure pointers (F2003)... yes
993:info:configure checking whether /opt/local/bin/gfortran-mp-8 supports OO features (F2003)... yes
994:info:configure checking whether /opt/local/bin/gfortran-mp-8 supports submodules (F2008)... yes
995:info:configure checking whether /opt/local/bin/gfortran-mp-8 interfaces the command line (F2003)... yes
996:info:configure checking whether /opt/local/bin/gfortran-mp-8 provides access to environment variables (F2003)... yes
997:info:configure checking whether /opt/local/bin/gfortran-mp-8 supports the flush statement (F2003)... yes
998:info:configure checking whether /opt/local/bin/gfortran-mp-8 supports iso_fortran_env (F2003)... yes
999:info:configure checking for /opt/local/bin/gfortran-mp-8 option to support OpenMP... -fopenmp
1000:info:configure checking whether OpenMP is activated... (cached) yes
1001:info:configure checking whether /opt/local/bin/gfortran-mp-8 supports profiling via -pg... no
1002:info:configure checking whether profiling is activated... no
1003:info:configure checking the default setting for impure omegalib... no
1004:info:configure checking for Fortran90 module file naming convention... name: module_name, extension: .mod
1005:info:configure checking for tr... /usr/bin/tr
1006:info:configure checking for case conversion... /usr/bin/tr works
1007:info:configure checking whether /opt/local/bin/gfortran-mp-8 supports iso_fortran_env (F2008)... yes
1008:info:configure checking whether /opt/local/bin/gfortran-mp-8 supports c_float128 (a gfortran extension)... yes
1009:info:configure checking the requested floating point precision... double
1010:info:configure configure: no MPI support demanded
1011:info:configure configure: --------------------------------------------------------------
1012:info:configure configure: --- Objective Caml checks ---
1013:info:configure configure:
1014:info:configure checking for ocaml... /opt/local/bin/ocaml
1015:info:configure checking for ocamlc... /opt/local/bin/ocamlc
1016:info:configure OCaml version is 4.07.1
1017:info:configure OCaml library path is /opt/local/lib/ocaml
1018:info:configure checking for ocamlopt... /opt/local/bin/ocamlopt
1019:info:configure checking for ocamlc.opt... /opt/local/bin/ocamlc.opt
1020:info:configure checking for ocamlopt.opt... /opt/local/bin/ocamlopt.opt
1021:info:configure checking for ocamldep... /opt/local/bin/ocamldep
1022:info:configure checking for ocamlmktop... /opt/local/bin/ocamlmktop
1023:info:configure checking for ocamlmklib... /opt/local/bin/ocamlmklib
1024:info:configure checking for ocamldoc... /opt/local/bin/ocamldoc
1025:info:configure checking for ocamlbuild... no
1026:info:configure checking for OCaml version 312000... ok
1027:info:configure checking for ocamllex... /opt/local/bin/ocamllex
1028:info:configure checking for ocamllex.opt... /opt/local/bin/ocamllex.opt
1029:info:configure checking for ocamlyacc... /opt/local/bin/ocamlyacc
1030:info:configure checking for ocamlcp... /opt/local/bin/ocamlcp
1031:info:configure checking for ocamlweb... no
1032:info:configure checking for OCaml/GTK+ toolkit directory... not found
1033:info:configure checking for ocamldot... no
1034:info:configure checking for ocamldep... /opt/local/bin/ocamldep
1035:info:configure checking for ocamldefun... no
1036:info:configure configure: --------------------------------------------------------------
1037:info:configure configure: --- C++ compiler checks ---
1038:info:configure configure:
1039:info:configure checking whether we are using the GNU C++ compiler... yes
1040:info:configure checking whether /opt/local/bin/g++-mp-8 accepts -g... yes
1041:info:configure checking dependency style of /opt/local/bin/g++-mp-8... gcc3
1042:info:configure checking how to run the C++ preprocessor... /opt/local/bin/g++-mp-8 -E
1043:info:configure checking for ld used by /opt/local/bin/g++-mp-8... /opt/local/bin/ld
1044:info:configure checking if the linker (/opt/local/bin/ld) is GNU ld... no
1045:info:configure checking whether the /opt/local/bin/g++-mp-8 linker (/opt/local/bin/ld) supports shared libraries... yes
1046:info:configure checking for /opt/local/bin/g++-mp-8 option to produce PIC... -fno-common -DPIC
1047:info:configure checking if /opt/local/bin/g++-mp-8 PIC flag -fno-common -DPIC works... yes
1048:info:configure checking if /opt/local/bin/g++-mp-8 static flag -static works... no
1049:info:configure checking if /opt/local/bin/g++-mp-8 supports -c -o file.o... yes
1050:info:configure checking if /opt/local/bin/g++-mp-8 supports -c -o file.o... (cached) yes
1051:info:configure checking whether the /opt/local/bin/g++-mp-8 linker (/opt/local/bin/ld) supports shared libraries... yes
1052:info:configure checking dynamic linker characteristics... darwin18.0.0 dyld
1053:info:configure checking how to hardcode library paths into programs... immediate
1054:info:configure checking how to get verbose linking output from /opt/local/bin/g++-mp-8... -v
1055:info:configure checking for C++ libraries...  -L/opt/local/lib -L/opt/local/lib/gcc8/gcc/x86_64-apple-darwin18/8.2.0 -L/opt/local/lib/gcc8/gcc/x86_64-apple-darwin18/8.2.0/../../.. -lstdc++ -lSystem -lgcc_ext.10.5
1056:info:configure configure: --------------------------------------------------------------
1057:info:configure configure: --- O'Mega UFO file paths ---
1058:info:configure configure:
1059:info:configure configure: Creating default UFO directory /opt/local/omega/share/UFO
1060:info:configure configure: --------------------------------------------------------------
1061:info:configure configure: --- LHAPDF ---
1062:info:configure configure:
1063:info:configure checking for lhapdf... no
1064:info:configure checking for lhapdf-config... no
1065:info:configure configure: --------------------------------------------------------------
1066:info:configure configure: --- HepMC ---
1067:info:configure configure:
1068:info:configure checking for HepMC-config... no
1069:info:configure checking HepMC3 or newer... no
1070:info:configure configure: HepMC3 not found, incompatible, or HepMC-config not found
1071:info:configure configure: looking for HepMC2 instead ...
1072:info:configure checking the HepMC version... unknown
1073:info:configure checking for HepMC... (disabled)
1074:info:configure configure: --------------------------------------------------------------
1075:info:configure configure: --- STDHEP ---
1076:info:configure configure:
1077:info:configure configure: StdHEP v5.06.01 is included internally
1078:info:configure configure: --------------------------------------------------------------
1079:info:configure configure: --- LCIO ---
1080:info:configure configure:
1081:info:configure checking the LCIO version... unknown
1082:info:configure checking for LCIO... (disabled)
1083:info:configure configure: --------------------------------------------------------------
1084:info:configure configure: --- SHOWERS PYTHIA6 PYTHIA8 MPI ---
1085:info:configure configure:
1086:info:configure checking whether we want to enable PYTHIA6... yes
1087:info:configure checking for PYTHIA6... (enabled)
1088:info:configure checking for PYTHIA8... (disabled)
1089:info:configure configure: --------------------------------------------------------------
1090:info:configure configure: --- HOPPET ---
1091:info:configure configure:
1092:info:configure checking for HOPPET... (disabled)
1093:info:configure configure: --------------------------------------------------------------
1094:info:configure configure: --- FASTJET ---
1095:info:configure configure:
1096:info:configure checking for FASTJET... (disabled)
1097:info:configure configure: --------------------------------------------------------------
1098:info:configure configure: --- GOSAM ---
1099:info:configure configure:
1100:info:configure checking for GoSam... (disabled)
1101:info:configure configure: --------------------------------------------------------------
1102:info:configure configure: --- OPENLOOPS ---
1103:info:configure configure:
1104:info:configure checking for OpenLoops... (disabled)
1105:info:configure configure: --------------------------------------------------------------
1106:info:configure configure: --- RECOLA ---
1107:info:configure configure:
1108:info:configure checking for Recola... (disabled)
1109:info:configure configure: --------------------------------------------------------------
1110:info:configure configure: --- LOOPTOOLS ---
1111:info:configure configure:
1112:info:configure checking for LoopTools... (disabled)
1113:info:configure configure: --------------------------------------------------------------
1114:info:configure configure: --- Handle linking with C++ libraries ---
1115:info:configure configure:
1116:info:configure checking for LDFLAGS_STATIC:... (not needed)
1117:info:configure configure: --------------------------------------------------------------
1118:info:configure configure: --- Numerical checks ---
1119:info:configure configure:
1120:info:configure checking whether we want optimization for parameter files... no
1121:info:configure configure: --------------------------------------------------------------
1122:info:configure configure: --- Auxiliary stuff for external interfaces ---
1123:info:configure configure:
1124:info:configure checking for python build information...
1125:info:configure checking for python3.3... no
1126:info:configure checking for python3.2... no
1127:info:configure checking for python3.1... no
1128:info:configure checking for python3.0... no
1129:info:configure checking for python2.7... python2.7
1130:info:configure checking for main in -lpython2.7... yes
1131:info:configure   results of the Python check:
1132:info:configure     Binary:        python2.7
1133:info:configure     Major version: 2.7
1134:info:configure     Library:       python2.7
1135:info:configure     Include Dir:   /opt/local/Library/Frameworks/Python.framework/Versions/2.7/include/python2.7
1136:info:configure configure: --------------------------------------------------------------
1137:info:configure configure: --- Finalize configuration ---
1138:info:configure configure:
1139:info:configure checking that generated files are newer than configure... done
1140:info:configure configure: creating ./config.status
1141:info:configure config.status: creating Makefile
1142:info:configure config.status: creating src/Makefile
1143:info:configure config.status: creating src/hepmc/Makefile
1144:info:configure config.status: creating src/lcio/Makefile
1145:info:configure config.status: creating pythia6/Makefile
1146:info:configure config.status: creating tauola/Makefile
1147:info:configure config.status: creating mcfio/Makefile
1148:info:configure config.status: creating stdhep/Makefile
1149:info:configure config.status: creating src/muli/Makefile
1150:info:configure config.status: creating src/lhapdf5/Makefile
1151:info:configure config.status: creating src/lhapdf/Makefile
1152:info:configure config.status: creating src/pdf_builtin/Makefile
1153:info:configure config.status: creating src/qed_pdf/Makefile
1154:info:configure config.status: creating src/tauola/Makefile
1155:info:configure config.status: creating src/xdr/Makefile
1156:info:configure config.status: creating src/hoppet/Makefile
1157:info:configure config.status: creating src/fastjet/Makefile
1158:info:configure config.status: creating src/looptools/Makefile
1159:info:configure config.status: creating src/pythia8/Makefile
1160:info:configure config.status: creating src/shower/Makefile
1161:info:configure config.status: creating src/noweb-frame/Makefile
1162:info:configure config.status: creating src/basics/Makefile
1163:info:configure config.status: creating src/utilities/Makefile
1164:info:configure config.status: creating src/testing/Makefile
1165:info:configure config.status: creating src/system/Makefile
1166:info:configure config.status: creating src/system/system_dependencies.f90
1167:info:configure config.status: creating src/combinatorics/Makefile
1168:info:configure config.status: creating src/parsing/Makefile
1169:info:configure config.status: creating src/rng/Makefile
1170:info:configure config.status: creating src/expr_base/Makefile
1171:info:configure config.status: creating src/physics/Makefile
1172:info:configure config.status: creating src/qft/Makefile
1173:info:configure config.status: creating src/types/Makefile
1174:info:configure config.status: creating src/matrix_elements/Makefile
1175:info:configure config.status: creating src/me_methods/Makefile
1176:info:configure config.status: creating src/particles/Makefile
1177:info:configure config.status: creating src/beams/Makefile
1178:info:configure config.status: creating src/events/Makefile
1179:info:configure config.status: creating src/vegas/Makefile
1180:info:configure config.status: creating src/mci/Makefile
1181:info:configure config.status: creating src/phase_space/Makefile
1182:info:configure config.status: creating src/user/Makefile
1183:info:configure config.status: creating src/blha/Makefile
1184:info:configure config.status: creating src/gosam/Makefile
1185:info:configure config.status: creating src/openloops/Makefile
1186:info:configure config.status: creating src/recola/Makefile
1187:info:configure config.status: creating src/fks/Makefile
1188:info:configure config.status: creating src/matching/Makefile
1189:info:configure config.status: creating src/variables/Makefile
1190:info:configure config.status: creating src/model_features/Makefile
1191:info:configure config.status: creating src/models/Makefile
1192:info:configure config.status: creating src/threshold/Makefile
1193:info:configure config.status: creating src/models/threeshl_bundle/Makefile
1194:info:configure config.status: creating src/process_integration/Makefile
1195:info:configure config.status: creating src/transforms/Makefile
1196:info:configure config.status: creating src/whizard-core/Makefile
1197:info:configure config.status: creating src/prebuilt/Makefile
1198:info:configure config.status: creating src/feynmf/Makefile
1199:info:configure config.status: creating src/gamelan/Makefile
1200:info:configure config.status: creating src/gamelan/whizard-gml
1201:info:configure config.status: creating share/Makefile
1202:info:configure config.status: creating share/doc/Makefile
1203:info:configure config.status: creating share/models/Makefile
1204:info:configure config.status: creating share/cuts/Makefile
1205:info:configure config.status: creating share/beam-sim/Makefile
1206:info:configure config.status: creating share/susy/Makefile
1207:info:configure config.status: creating share/examples/Makefile
1208:info:configure config.status: creating share/tests/Makefile
1209:info:configure config.status: creating share/muli/Makefile
1210:info:configure config.status: creating share/interfaces/Makefile
1211:info:configure config.status: creating share/interfaces/py_whiz_setup.py
1212:info:configure config.status: creating share/SM_tt_threshold_data/Makefile
1213:info:configure config.status: creating share/gui/Makefile
1214:info:configure config.status: creating tests/Makefile
1215:info:configure config.status: creating tests/models/Makefile
1216:info:configure config.status: creating tests/models/UFO/Makefile
1217:info:configure config.status: creating tests/models/UFO/SM/Makefile
1218:info:configure config.status: creating tests/unit_tests/Makefile
1219:info:configure config.status: creating tests/functional_tests/Makefile
1220:info:configure config.status: creating tests/ext_tests_mssm/Makefile
1221:info:configure config.status: creating tests/ext_tests_nmssm/Makefile
1222:info:configure config.status: creating tests/ext_tests_ilc/Makefile
1223:info:configure config.status: creating tests/ext_tests_shower/Makefile
1224:info:configure config.status: creating tests/ext_tests_nlo/Makefile
1225:info:configure config.status: creating tests/unit_tests/run_whizard_ut.sh
1226:info:configure config.status: creating tests/functional_tests/run_whizard.sh
1227:info:configure config.status: creating tests/ext_tests_mssm/run_whizard.sh
1228:info:configure config.status: creating tests/ext_tests_nmssm/run_whizard.sh
1229:info:configure config.status: creating tests/ext_tests_ilc/run_whizard.sh
1230:info:configure config.status: creating tests/ext_tests_shower/run_whizard.sh
1231:info:configure config.status: creating tests/ext_tests_nlo/run_whizard.sh
1232:info:configure config.status: creating scripts/Makefile
1233:info:configure config.status: creating scripts/whizard-config
1234:info:configure config.status: creating scripts/whizard-setup.sh
1235:info:configure config.status: creating scripts/whizard-setup.csh
1236:info:configure config.status: creating circe1/Makefile
1237:info:configure config.status: creating circe1/src/Makefile
1238:info:configure config.status: creating circe1/minuit/Makefile
1239:info:configure config.status: creating circe1/tools/Makefile
1240:info:configure config.status: creating circe1/share/Makefile
1241:info:configure config.status: creating circe1/share/data/Makefile
1242:info:configure config.status: creating circe1/share/doc/Makefile
1243:info:configure config.status: creating circe2/Makefile
1244:info:configure config.status: creating circe2/src/Makefile
1245:info:configure config.status: creating circe2/share/Makefile
1246:info:configure config.status: creating circe2/share/doc/Makefile
1247:info:configure config.status: creating circe2/share/examples/Makefile
1248:info:configure config.status: creating circe2/share/data/Makefile
1249:info:configure config.status: creating circe2/share/tests/Makefile
1250:info:configure config.status: creating circe2/tests/Makefile
1251:info:configure config.status: creating circe2/tests/test_wrapper.sh
1252:info:configure config.status: creating circe2/tests/circe2_tool.sh
1253:info:configure config.status: creating circe2/tests/generate.sh
1254:info:configure config.status: creating omega/Makefile
1255:info:configure config.status: creating omega/bin/Makefile
1256:info:configure config.status: creating omega/lib/Makefile
1257:info:configure config.status: creating omega/models/Makefile
1258:info:configure config.status: creating omega/src/Makefile
1259:info:configure config.status: creating omega/share/Makefile
1260:info:configure config.status: creating omega/share/doc/Makefile
1261:info:configure config.status: creating omega/extensions/Makefile
1262:info:configure config.status: creating omega/extensions/people/Makefile
1263:info:configure config.status: creating omega/extensions/people/jr/Makefile
1264:info:configure config.status: creating omega/extensions/people/tho/Makefile
1265:info:configure config.status: creating omega/tests/Makefile
1266:info:configure config.status: creating omega/tests/UFO/Makefile
1267:info:configure config.status: creating omega/tests/UFO/SM/Makefile
1268:info:configure config.status: creating omega/tools/Makefile
1269:info:configure config.status: creating omega/scripts/Makefile
1270:info:configure config.status: creating omega/scripts/omega-config
1271:info:configure config.status: creating omega/src/config.ml
1272:info:configure config.status: creating vamp/Makefile
1273:info:configure config.status: creating vamp/src/Makefile
1274:info:configure config.status: creating vamp/share/Makefile
1275:info:configure config.status: creating vamp/share/doc/Makefile
1276:info:configure config.status: creating vamp/tests/Makefile
1277:info:configure config.status: executing depfiles commands
1278:info:configure config.status: executing libtool commands
1279:info:configure |=============================================================================|
1280:info:configure |                                                                             |
1281:info:configure |    WW             WW  WW   WW  WW  WWWWWW      WW      WWWWW    WWWW        |
1282:info:configure |     WW    WW     WW   WW   WW  WW     WW      WWWW     WW  WW   WW  WW      |
1283:info:configure |      WW  WW WW  WW    WWWWWWW  WW    WW      WW  WW    WWWWW    WW   WW     |
1284:info:configure |       WWWW   WWWW     WW   WW  WW   WW      WWWWWWWW   WW  WW   WW  WW      |
1285:info:configure |        WW     WW      WW   WW  WW  WWWWWW  WW      WW  WW   WW  WWWW        |
1286:info:configure |                                                                             |
1287:info:configure |                                                                             |
1288:info:configure |                                        W                                    |
1289:info:configure |                                       sW                                    |
1290:info:configure |                                       WW                                    |
1291:info:configure |                                      sWW                                    |
1292:info:configure |                                      WWW                                    |
1293:info:configure |                                     wWWW                                    |
1294:info:configure |                                    wWWWW                                    |
1295:info:configure |                                    WW WW                                    |
1296:info:configure |                                    WW WW                                    |
1297:info:configure |                                   wWW WW                                    |
1298:info:configure |                                  wWW  WW                                    |
1299:info:configure |                                  WW   WW                                    |
1300:info:configure |                                  WW   WW                                    |
1301:info:configure |                                 WW    WW                                    |
1302:info:configure |                                 WW    WW                                    |
1303:info:configure |                                WW     WW                                    |
1304:info:configure |                                WW     WW                                    |
1305:info:configure |           wwwwww              WW      WW                                    |
1306:info:configure |              WWWWWww          WW      WW                                    |
1307:info:configure |                 WWWWWwwwww   WW       WW                                    |
1308:info:configure |                     wWWWwwwwwWW       WW                                    |
1309:info:configure |                 wWWWWWWWWWWwWWW       WW                                    |
1310:info:configure |                wWWWWW       wW        WWWWWWW                               |
1311:info:configure |                  WWWW       wW        WW  wWWWWWWWwww                       |
1312:info:configure |                   WWWW                      wWWWWWWWwwww                    |
1313:info:configure |                     WWWW                      WWWW     WWw                  |
1314:info:configure |                       WWWWww                   WWWW                         |
1315:info:configure |                           WWWwwww              WWWW                         |
1316:info:configure |                               wWWWWwww       wWWWWW                         |
1317:info:configure |                                     WwwwwwwwwWWW                            |
1318:info:configure |                                                                             |
1319:info:configure |                                                                             |
1320:info:configure |                                                                             |
1321:info:configure |  by:   Wolfgang Kilian, Thorsten Ohl, Juergen Reuter                        |
1322:info:configure |        with contributions from Christian Speckner                           |
1323:info:configure |        Contact: <whizard@desy.de>                                           |
1324:info:configure |                                                                             |
1325:info:configure |  if you use WHIZARD please cite:                                            |
1326:info:configure |        W. Kilian, T. Ohl, J. Reuter,  Eur.Phys.J.C71 (2011) 1742            |
1327:info:configure |                                          [arXiv: 0708.4233 [hep-ph]]        |
1328:info:configure |        M. Moretti, T. Ohl, J. Reuter, arXiv: hep-ph/0102195                 |
1329:info:configure |                                                                             |
1330:info:configure |=============================================================================|
1331:info:configure **************************************************************
1332:info:configure --------------------------------------------------------------
1333:info:configure ---      WHIZARD CONFIGURATION SUMMARY      ---
1334:info:configure **************************************************************
1335:info:configure Package name: WHIZARD
1336:info:configure Version:      2.6.4
1337:info:configure Date:         Aug 23 2018
1338:info:configure Status:       release
1339:info:configure **************************************************************
1340:info:configure ---      Compilers      ---
1341:info:configure --------------------------------------------------------------
1342:info:configure Fortran compiler: --- gfortran ---
1343:info:configure          Version: --- 8.2.0 ---
1344:info:configure            Flags: --- -pipe -Os -m64 ---
1345:info:configure  float precision: --- double ---
1346:info:configure           OpenMP: --- on with max. 8 threads
1347:info:configure              MPI: --- off ---
1348:info:configure --------------------------------------------------------------
1349:info:configure   OCaml compiler: --- /opt/local/bin/ocamlopt.opt ---
1350:info:configure          Version: --- 4.07.1 ---
1351:info:configure            Flags: --- -unsafe-string  ---
1352:info:configure --------------------------------------------------------------
1353:info:configure     C++ compiler: --- /opt/local/bin/g++-mp-8 ---      [interfaces only]
1354:info:configure            Flags: --- -pipe -Os -isysroot/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.14.sdk -arch x86_64 ---
1355:info:configure **************************************************************
1356:info:configure ---      Internal and shipped packages      ---
1357:info:configure --------------------------------------------------------------
1358:info:configure VAMP  (multi-channel adapative integrator) :   yes, v2.6.4
1359:info:configure O'Mega (matrix element generator)          :   yes, v2.6.4
1360:info:configure CIRCE1 (lepton beam spectra, parameterized):   yes, v2.6.4
1361:info:configure CIRCE2 (lepton beam spectra, sampled)      :   yes, v2.6.4
1362:info:configure     incl. tools for generating new spectra :   yes
1363:info:configure --------------------------------------------------------------
1364:info:configure PYTHIA6 (parton showering & hadronization) :   yes, v6.427
1365:info:configure TAUOLA (tau decays)                        :   yes
1366:info:configure StdHEP (event format)                      :   yes, v5.06.01
1367:info:configure --------------------------------------------------------------
1368:info:configure ---      External packages      ---
1369:info:configure --------------------------------------------------------------
1370:info:configure HepMC (event format):   no
1371:info:configure LCIO (event format) :   no
1372:info:configure LHAPDF (PDF sets)   :   no
1373:info:configure HOPPET (PDF match.) :   no
1374:info:configure FastJet (clustering):   no
1375:info:configure PYTHIA8 (QCD)       :   no
1376:info:configure GoSam (OLP)         :   no
1377:info:configure OpenLoops (OLP)     :   no
1378:info:configure RECOLA (OLP)        :   no
1379:info:configure LoopTools           :   no
1380:info:configure --------------------------------------------------------------
1381:info:configure **************************************************************
1382:info:configure ***              MAC OS X Darwin system with               ***
1383:info:configure ***    Security Integrity Protection (SIP) enabled.        ***
1384:info:configure ***  'make check' will not work, and most likely also      ***
1385:info:configure ***  'make installcheck' will not work. The installed      ***
1386:info:configure ***            WHIZARD will work as intended.              ***
1387:info:configure **************************************************************
1388:debug:configure Privilege de-escalation not attempted as not running as root.
1389:debug:build build phase started at Fri Oct 12 15:58:36 MDT 2018
1390:notice:build --->  Building whizard
1391:debug:build Executing org.macports.build (whizard)
1392:debug:build Environment:
1393:debug:build CC_PRINT_OPTIONS='YES'
1394:debug:build CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/.CC_PRINT_OPTIONS'
1395:debug:build CPATH='/opt/local/include'
1396:debug:build LIBRARY_PATH='/opt/local/lib'
1397:debug:build MACOSX_DEPLOYMENT_TARGET='10.14'
1398:info:build Executing:  cd "/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4" && /usr/bin/make -j8 -w all
1399:debug:build system:  cd "/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4" && /usr/bin/make -j8 -w all
1400:info:build make: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4'
1401:info:build Making all in circe1
1402:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1'
1403:info:build Making all in src
1404:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/src'
1405:info:build Makefile:920: Makefile.depend: No such file or directory
1406:info:build for src in circe1.f90; do \
1407:info:build           module="`basename $src | sed 's/\.f90//'`"; \
1408:info:build       grep '^ *use ' $src \
1409:info:build         | grep -v '!NODEP!' \
1410:info:build         | sed -e 's/^ *use */'$module'.lo: /' \
1411:info:build               -e 's/, *only:.*//' \
1412:info:build               -e 's/, *&//' \
1413:info:build               -e 's/, *.*=>.*//' \
1414:info:build               -e 's/ *$/.lo/' \
1415:info:build                               ; \
1416:info:build     done > Makefile.depend
1417:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/src'
1418:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/src'
1419:info:build   FC       kinds.lo
1420:info:build   FC       circe1.lo
1421:info:build   FCLD     libcirce1.la
1422:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/src'
1423:info:build Making all in minuit
1424:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/minuit'
1425:info:build   F77      mncomd.lo
1426:info:build   F77      mndxdi.lo
1427:info:build   F77      minuit.lo
1428:info:build   F77      mnexin.lo
1429:info:build   F77      mnhess.lo
1430:info:build   F77      mnlims.lo
1431:info:build   F77      mnparm.lo
1432:info:build   F77      mnprin.lo
1433:info:build   F77      mnsave.lo
1434:info:build   F77      mnstat.lo
1435:info:build   F77      mnwarn.lo
1436:info:build   F77      mnamin.lo
1437:info:build   F77      mncont.lo
1438:info:build   F77      mneig.lo
1439:info:build   F77      mnfixp.lo
1440:info:build   F77      mnimpr.lo
1441:info:build   F77      mnline.lo
1442:info:build   F77      mnpars.lo
1443:info:build   F77      mnpsdf.lo
1444:info:build   F77      mnscan.lo
1445:info:build   F77      mnstin.lo
1446:info:build   F77      mnwerr.lo
1447:info:build   F77      mnbins.lo
1448:info:build   F77      mncrck.lo
1449:info:build   F77      mnemat.lo
1450:info:build   F77      mnfree.lo
1451:info:build   F77      mninex.lo
1452:info:build   F77      mnmatu.lo
1453:info:build   F77      mnpfit.lo
1454:info:build   F77      mnrazz.lo
1455:info:build   F77      mnseek.lo
1456:info:build   F77      mntiny.lo
1457:info:build   F77      stand.lo
1458:info:build   F77      mncalf.lo
1459:info:build   F77      mncros.lo
1460:info:build   F77      mnerrs.lo
1461:info:build   F77      mngrad.lo
1462:info:build   F77      mninit.lo
1463:info:build   F77      mnmigr.lo
1464:info:build   F77      mnpint.lo
1465:info:build   F77      mnread.lo
1466:info:build   F77      mnset.lo
1467:info:build   F77      mnunpt.lo
1468:info:build   F77      mncler.lo
1469:info:build   F77      mncuve.lo
1470:info:build   F77      mneval.lo
1471:info:build   F77      mnhelp.lo
1472:info:build   F77      mninpu.lo
1473:info:build   F77      mnmnos.lo
1474:info:build   F77      mnplot.lo
1475:info:build   F77      mnrn15.lo
1476:info:build   F77      mnseti.lo
1477:info:build   F77      mnvers.lo
1478:info:build   F77      mncntr.lo
1479:info:build   F77      mnderi.lo
1480:info:build   F77      mnexcm.lo
1481:info:build   F77      mnhes1.lo
1482:info:build   F77      mnintr.lo
1483:info:build   F77      mnmnot.lo
1484:info:build   F77      mnpout.lo
1485:info:build   F77      mnrset.lo
1486:info:build   F77      mnsimp.lo
1487:info:build   F77      mnvert.lo
1488:info:build   CC       intrac.lo
1489:info:build intrac.c: In function 'intrac_':
1490:info:build intrac.c:3:19: warning: implicit declaration of function 'isatty' [-Wimplicit-function-declaration]
1491:info:build      return ((int) isatty(0));
1492:info:build                    ^~~~~~
1493:info:build   F77LD    libminuit.la
1494:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/minuit'
1495:info:build Making all in tools
1496:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/tools'
1497:info:build Makefile:1067: Makefile.depend: No such file or directory
1498:info:build for src in circe1_plot.f90 circe1_fit.f90 circe1_sample.f90 circe1_int.f90; do \
1499:info:build           module="`basename $src | sed 's/\.f90//'`"; \
1500:info:build       grep '^ *use ' $src \
1501:info:build         | grep -v '!NODEP!' \
1502:info:build         | sed -e 's/^ *use */'$module'.lo: /' \
1503:info:build               -e 's/, *only:.*//' \
1504:info:build               -e 's/, *&//' \
1505:info:build               -e 's/, *.*=>.*//' \
1506:info:build               -e 's/ *$/.lo/' \
1507:info:build                               ; \
1508:info:build     done > Makefile.depend
1509:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/tools'
1510:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/tools'
1511:info:build   FC       circe1_plot.o
1512:info:build   FC       circe1_fit.o
1513:info:build /opt/local/bin/gsed 's|@name@|circe1_fit|g' circe1_fit.sh >circe1_fit.run
1514:info:build   FC       circe1_sample.o
1515:info:build   FC       circe1_minuit1.o
1516:info:build   FC       circe1_minuit2.o
1517:info:build /opt/local/bin/gsed 's|@name@|circe1_minuit1|g' circe1_minuit1.sh >circe1_minuit1.run
1518:info:build   FC       circe1_int.o
1519:info:build chmod +x circe1_fit.run
1520:info:build chmod +x circe1_minuit1.run
1521:info:build /opt/local/bin/gsed 's|@name@|circe1_minuit2|g' circe1_minuit2.sh >circe1_minuit2.run
1522:info:build /opt/local/bin/gsed 's|@name@|circe1_int|g' circe1_int.sh >circe1_int.run
1523:info:build chmod +x circe1_int.run
1524:info:build chmod +x circe1_minuit2.run
1525:info:build   FCLD     circe1_plot
1526:info:build   FCLD     circe1_minuit2
1527:info:build   FCLD     circe1_minuit1
1528:info:build   FCLD     circe1_sample
1529:info:build   FCLD     circe1_int
1530:info:build   FCLD     circe1_fit
1531:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/tools'
1532:info:build Making all in share
1533:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/share'
1534:info:build Making all in doc
1535:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/share/doc'
1536:info:build make[3]: Nothing to be done for `all'.
1537:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/share/doc'
1538:info:build Making all in data
1539:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/share/data'
1540:info:build make[3]: Nothing to be done for `all'.
1541:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/share/data'
1542:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/share'
1543:info:build make[3]: Nothing to be done for `all-am'.
1544:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/share'
1545:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1/share'
1546:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1'
1547:info:build make[2]: Nothing to be done for `all-am'.
1548:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1'
1549:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe1'
1550:info:build Making all in circe2
1551:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2'
1552:info:build Making all in src
1553:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/src'
1554:info:build Makefile:1277: Makefile.depend: No such file or directory
1555:info:build Makefile:1299: Makefile.depend_ocaml: No such file or directory
1556:info:build for src in circe2.f90 tao_random_numbers.f90 tao_random_objects.f90 circe2_moments.f90 circe2_ls.f90 circe2_generate.f90; do \
1557:info:build           module="`basename $src | sed 's/\.f90//'`"; \
1558:info:build       grep '^ *use ' $src \
1559:info:build         | grep -v '!NODEP!' \
1560:info:build         | sed -e 's/^ *use */'$module'.lo: /' \
1561:info:build               -e 's/, *only:.*//' \
1562:info:build               -e 's/, *&//' \
1563:info:build               -e 's/, *.*=>.*//' \
1564:info:build               -e 's/ *$/.lo/'; \
1565:info:build       grep '^ *use ' $src \
1566:info:build         | grep -v '!NODEP!' \
1567:info:build         | sed -e 's/^ *use */'$module'.lo: /' \
1568:info:build               -e 's/, *only:.*//' \
1569:info:build               -e 's/, *&//' \
1570:info:build               -e 's/, *.*=>.*//' \
1571:info:build               -e 's/ *$/.$(FC_MODULE_EXT)/'; \
1572:info:build     done > Makefile.depend
1573:info:build   OCAMLDEP  Makefile.depend_ocaml
1574:info:build echo parser.mli: parser.ml >>Makefile.depend_ocaml
1575:info:build echo lexer.cmi: parser.cmi >>Makefile.depend_ocaml
1576:info:build echo parser.cmi: syntax.cmi >>Makefile.depend_ocaml
1577:info:build echo commands.cmi: parser.cmi lexer.cmi >>Makefile.depend_ocaml
1578:info:build echo commands.cmo: parser.cmi lexer.cmi >>Makefile.depend_ocaml
1579:info:build echo commands.cmx: parser.cmx lexer.cmx >>Makefile.depend_ocaml
1580:info:build echo lexer.cmo: lexer.cmi >>Makefile.depend_ocaml
1581:info:build echo lexer.cmx: lexer.cmi parser.cmx >>Makefile.depend_ocaml
1582:info:build echo parser.cmo: parser.cmi syntax.cmi >>Makefile.depend_ocaml
1583:info:build echo parser.cmx: parser.cmi syntax.cmi syntax.cmx >>Makefile.depend_ocaml
1584:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/src'
1585:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/src'
1586:info:build   FC       kinds.lo
1587:info:build   OCAMLC    OUnit.cmi
1588:info:build   OCAMLC    float.cmi
1589:info:build   OCAMLC    diffmap.cmi
1590:info:build   OCAMLC    histogram.cmi
1591:info:build   OCAMLC    OUnitDiff.cmi
1592:info:build   OCAMLC    events.cmi
1593:info:build   OCAMLC    thoArray.cmi
1594:info:build   OCAMLOPT  OUnit.cmx
1595:info:build   OCAMLC    thoMatrix.cmi
1596:info:build   OCAMLC    filter.cmi
1597:info:build   OCAMLOPT  events.cmx
1598:info:build File "events.ml", line 53, characters 19-30:
1599:info:build Warning 3: deprecated: Stdlib.String.copy
1600:info:build File "events.ml", line 57, characters 6-27:
1601:info:build Warning 3: deprecated: Stdlib.String.set
1602:info:build Use Bytes.set instead.
1603:info:build   OCAMLOPT  float.cmx
1604:info:build   OCAMLC    diffmaps.cmi
1605:info:build File "events.ml", line 119, characters 14-29:
1606:info:build Warning 3: deprecated: Bigarray.Array2.map_file
1607:info:build Use [array2_of_genarray (Unix.map_file ...)] instead.
1608:info:build Note that Bigarray.Array2.map_file raises Sys_error while
1609:info:build Unix.map_file raises Unix_error.
1610:info:build File "events.ml", line 151, characters 10-25:
1611:info:build Warning 3: deprecated: Bigarray.Array2.map_file
1612:info:build Use [array2_of_genarray (Unix.map_file ...)] instead.
1613:info:build Note that Bigarray.Array2.map_file raises Sys_error while
1614:info:build Unix.map_file raises Unix_error.
1615:info:build File "events.ml", line 157, characters 10-25:
1616:info:build Warning 3: deprecated: Bigarray.Array2.map_file
1617:info:build Use [array2_of_genarray (Unix.map_file ...)] instead.
1618:info:build Note that Bigarray.Array2.map_file raises Sys_error while
1619:info:build Unix.map_file raises Unix_error.
1620:info:build File "events.ml", line 193, characters 4-19:
1621:info:build Warning 3: deprecated: Bigarray.Array2.map_file
1622:info:build Use [array2_of_genarray (Unix.map_file ...)] instead.
1623:info:build Note that Bigarray.Array2.map_file raises Sys_error while
1624:info:build Unix.map_file raises Unix_error.
1625:info:build File "float.ml", line 46, characters 10-22:
1626:info:build Warning 3: deprecated: Stdlib.String.set
1627:info:build Use Bytes.set instead.
1628:info:build File "OUnit.ml", line 262, characters 20-33:
1629:info:build Warning 3: deprecated: Stdlib.String.set
1630:info:build Use Bytes.set instead.
1631:info:build   OCAMLC    division.cmi
1632:info:build   OCAMLC    syntax.cmi
1633:info:build   OCAMLC    grid.cmi
1634:info:build   OCAMLC    parser.cmi
1635:info:build /opt/local/bin/ocamlc.opt  -i lexer.ml | /usr/bin/grep 'val token' >lexer.mli
1636:info:build   OCAMLC    lexer.cmi
1637:info:build   OCAMLOPT  histogram.cmx
1638:info:build   OCAMLOPT  diffmap.cmx
1639:info:build   OCAMLC    commands.cmi
1640:info:build File "histogram.ml", line 102, characters 10-14:
1641:info:build Warning 26: unused variable var1.
1642:info:build   FC       circe2.lo
1643:info:build   FC       tao_random_numbers.lo
1644:info:build   OCAMLOPT  thoArray.cmx
1645:info:build   OCAMLOPT  OUnitDiff.cmx
1646:info:build   OCAMLOPT  thoMatrix.cmx
1647:info:build   OCAMLOPT  diffmaps.cmx
1648:info:build   OCAMLOPT  filter.cmx
1649:info:build   OCAMLOPT  syntax.cmx
1650:info:build   OCAMLOPT  division.cmx
1651:info:build   OCAMLOPT  parser.cmx
1652:info:build   OCAMLOPT  grid.cmx
1653:info:build   OCAMLOPT  lexer.cmx
1654:info:build   OCAMLOPT  commands.cmx
1655:info:build   OCAMLOPT  circe2_tool.cmx
1656:info:build   OCAMLOPT  circe2_tool.opt
1657:info:build   FCLD     libtaorng.la
1658:info:build   FCLD     libcirce2.la
1659:info:build   FC       tao_random_objects.lo
1660:info:build   FCLD     libtaorng_objs.la
1661:info:build   FC       circe2_moments.o
1662:info:build   FC       circe2_ls.o
1663:info:build   FC       circe2_generate.o
1664:info:build   FCLD     circe2_ls
1665:info:build   FCLD     circe2_generate
1666:info:build   FCLD     circe2_moments
1667:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/src'
1668:info:build Making all in share
1669:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/share'
1670:info:build Making all in doc
1671:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/share/doc'
1672:info:build make[3]: Nothing to be done for `all'.
1673:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/share/doc'
1674:info:build Making all in examples
1675:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/share/examples'
1676:info:build make[3]: Nothing to be done for `all'.
1677:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/share/examples'
1678:info:build Making all in data
1679:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/share/data'
1680:info:build make[3]: Nothing to be done for `all'.
1681:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/share/data'
1682:info:build Making all in tests
1683:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/share/tests'
1684:info:build make[3]: Nothing to be done for `all'.
1685:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/share/tests'
1686:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/share'
1687:info:build make[3]: Nothing to be done for `all-am'.
1688:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/share'
1689:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/share'
1690:info:build Making all in tests
1691:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/tests'
1692:info:build touch OCAML_FLAG
1693:info:build /Applications/Xcode.app/Contents/Developer/usr/bin/make  all-am
1694:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/tests'
1695:info:build make[3]: Nothing to be done for `all-am'.
1696:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/tests'
1697:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2/tests'
1698:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2'
1699:info:build make[2]: Nothing to be done for `all-am'.
1700:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2'
1701:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/circe2'
1702:info:build Making all in omega
1703:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega'
1704:info:build Making all in src
1705:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/src'
1706:info:build Makefile:1376: Makefile.depend_fortran: No such file or directory
1707:info:build Makefile:1391: Makefile.depend_ocaml: No such file or directory
1708:info:build for src in kinds.f90 constants.f90 iso_varying_string.f90 omega_spinors.f90 omega_bispinors.f90 omega_vectors.f90 omega_vectorspinors.f90 omega_tensors.f90 omega_couplings.f90 omega_spinor_couplings.f90 omega_bispinor_couplings.f90 omega_polarizations.f90 omega_polarizations_madgraph.f90 omega_tensor_polarizations.f90 omega_vspinor_polarizations.f90 omega_color.f90 omega_utils.f90 omega95.f90 omega95_bispinors.f90 omegavm95.f90; do \
1709:info:build       module="`basename $src | sed 's/\.f[90][0358]//'`"; \
1710:info:build       grep '^ *use ' $src \
1711:info:build         | grep -v '!NODEP!' \
1712:info:build         | sed -e 's/^ *use */'$module'.lo: /' \
1713:info:build               -e 's/, *only:.*//' \
1714:info:build               -e 's/, *&//' \
1715:info:build               -e 's/, *.*=>.*//' \
1716:info:build               -e 's/ *$/.lo/' ; \
1717:info:build     done > Makefile.depend_fortran
1718:info:build   OCAMLDEP  Makefile.depend_ocaml
1719:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/src'
1720:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/src'
1721:info:build   FC       kinds.lo
1722:info:build   FC       iso_varying_string.lo
1723:info:build   OCAMLC    tree.cmi
1724:info:build   OCAMLC    options.cmi
1725:info:build   OCAMLC    momentum.cmi
1726:info:build   OCAMLC    color.cmi
1727:info:build   OCAMLC    coupling.cmi
1728:info:build   OCAMLC    algebra.cmi
1729:info:build   OCAMLC    tuple.cmi
1730:info:build   OCAMLC    tree2.cmi
1731:info:build   OCAMLC    cascade_syntax.cmi
1732:info:build   OCAMLC    OUnit.cmi
1733:info:build   OCAMLC    OUnitDiff.cmi
1734:info:build   OCAMLC    config.cmi
1735:info:build   OCAMLC    pmap.cmi
1736:info:build   OCAMLC    thoList.cmi
1737:info:build   OCAMLC    thoString.cmi
1738:info:build   OCAMLC    bundle.cmi
1739:info:build   OCAMLC    powSet.cmi
1740:info:build   OCAMLC    thoFilename.cmi
1741:info:build   OCAMLC    cache.cmi
1742:info:build   OCAMLC    progress.cmi
1743:info:build   OCAMLC    trie.cmi
1744:info:build   OCAMLC    linalg.cmi
1745:info:build   OCAMLOPT  tree2.cmx
1746:info:build   OCAMLOPT  options.cmx
1747:info:build   OCAMLC    product.cmi
1748:info:build   OCAMLC    combinatorics.cmi
1749:info:build   OCAMLC    partition.cmi
1750:info:build   OCAMLC    topology.cmi
1751:info:build   OCAMLC    DAG.cmi
1752:info:build   OCAMLC    phasespace.cmi
1753:info:build   OCAMLOPT  cascade_syntax.cmx
1754:info:build   OCAMLC    vertex_syntax.cmi
1755:info:build   OCAMLC    UFOx_syntax.cmi
1756:info:build   OCAMLC    UFO_syntax.cmi
1757:info:build   OCAMLC    targets_Kmatrix.cmi
1758:info:build   OCAMLC    targets_Kmatrix_2.cmi
1759:info:build   OCAMLC    charges.cmi
1760:info:build   OCAMLC    cascade_parser.cmi
1761:info:build   OCAMLOPT  OUnit.cmx
1762:info:build   OCAMLOPT  config.cmx
1763:info:build   OCAMLC    partial.cmi
1764:info:build   OCAMLOPT  pmap.cmx
1765:info:build   OCAMLOPT  thoList.cmx
1766:info:build   OCAMLC    thoArray.cmi
1767:info:build   OCAMLOPT  thoString.cmx
1768:info:build File "OUnit.ml", line 262, characters 20-33:
1769:info:build Warning 3: deprecated: Stdlib.String.set
1770:info:build Use Bytes.set instead.
1771:info:build File "thoList.ml", line 145, characters 12-16:
1772:info:build Warning 52: Code should not depend on the actual values of
1773:info:build this constructor's arguments. They are only for information
1774:info:build and may change in future versions. (See manual section 9.5)
1775:info:build   OCAMLC    permutation.cmi
1776:info:build   OCAMLOPT  bundle.cmx
1777:info:build   OCAMLOPT  powSet.cmx
1778:info:build   OCAMLOPT  thoFilename.cmx
1779:info:build   OCAMLOPT  progress.cmx
1780:info:build   OCAMLOPT  linalg.cmx
1781:info:build   OCAMLOPT  partition.cmx
1782:info:build   OCAMLOPT  momentum.cmx
1783:info:build   OCAMLOPT  cascade_parser.cmx
1784:info:build   OCAMLOPT  vertex_syntax.cmx
1785:info:build   OCAMLC    vertex_parser.cmi
1786:info:build   OCAMLOPT  UFOx_syntax.cmx
1787:info:build   OCAMLOPT  UFO_syntax.cmx
1788:info:build   OCAMLC    UFOx_parser.cmi
1789:info:build   OCAMLC    UFO_parser.cmi
1790:info:build   OCAMLOPT  targets_Kmatrix.cmx
1791:info:build   OCAMLOPT  targets_Kmatrix_2.cmx
1792:info:build   FC       constants.lo
1793:info:build   FC       omega_color.lo
1794:info:build /opt/local/bin/ocamlc.opt  -i cascade_lexer.ml | /usr/bin/grep 'val token' >cascade_lexer.mli
1795:info:build   OCAMLC    model.cmi
1796:info:build   OCAMLOPT  OUnitDiff.cmx
1797:info:build   OCAMLOPT  partial.cmx
1798:info:build File "partial.ml", line 51, characters 24-35:
1799:info:build Warning 52: Code should not depend on the actual values of
1800:info:build this constructor's arguments. They are only for information
1801:info:build and may change in future versions. (See manual section 9.5)
1802:info:build   OCAMLOPT  thoArray.cmx
1803:info:build   OCAMLOPT  permutation.cmx
1804:info:build File "permutation.ml", line 51, characters 25-46:
1805:info:build Warning 52: Code should not depend on the actual values of
1806:info:build this constructor's arguments. They are only for information
1807:info:build and may change in future versions. (See manual section 9.5)
1808:info:build File "permutation.ml", line 62, characters 24-39:
1809:info:build Warning 52: Code should not depend on the actual values of
1810:info:build this constructor's arguments. They are only for information
1811:info:build and may change in future versions. (See manual section 9.5)
1812:info:build File "permutation.ml", line 69, characters 25-60:
1813:info:build Warning 52: Code should not depend on the actual values of
1814:info:build this constructor's arguments. They are only for information
1815:info:build and may change in future versions. (See manual section 9.5)
1816:info:build File "permutation.ml", line 95, characters 25-46:
1817:info:build Warning 52: Code should not depend on the actual values of
1818:info:build this constructor's arguments. They are only for information
1819:info:build and may change in future versions. (See manual section 9.5)
1820:info:build File "permutation.ml", line 121, characters 25-61:
1821:info:build Warning 52: Code should not depend on the actual values of
1822:info:build this constructor's arguments. They are only for information
1823:info:build and may change in future versions. (See manual section 9.5)
1824:info:build   OCAMLOPT  cache.cmx
1825:info:build   OCAMLOPT  trie.cmx
1826:info:build   OCAMLOPT  algebra.cmx
1827:info:build   OCAMLOPT  product.cmx
1828:info:build   OCAMLOPT  phasespace.cmx
1829:info:build   OCAMLC    process.cmi
1830:info:build   OCAMLOPT  vertex_parser.cmx
1831:info:build /opt/local/bin/ocamlc.opt  -i vertex_lexer.ml | /usr/bin/grep -E 'val (token|init_position)' >vertex_lexer.mli
1832:info:build   OCAMLOPT  UFOx_parser.cmx
1833:info:build /opt/local/bin/ocamlc.opt  -i UFOx_lexer.ml | /usr/bin/grep -E 'val (token|init_position)' >UFOx_lexer.mli
1834:info:build   OCAMLOPT  UFO_parser.cmx
1835:info:build /opt/local/bin/ocamlc.opt  -i UFO_lexer.ml | /usr/bin/grep -E 'val (token|init_position)' >UFO_lexer.mli
1836:info:build   OCAMLC    modellib_SM.cmi
1837:info:build   OCAMLC    modellib_MSSM.cmi
1838:info:build   OCAMLC    modellib_NoH.cmi
1839:info:build   OCAMLC    modellib_NMSSM.cmi
1840:info:build   OCAMLC    modellib_PSSSM.cmi
1841:info:build   OCAMLC    modellib_BSM.cmi
1842:info:build   OCAMLC    modellib_WZW.cmi
1843:info:build   OCAMLC    modellib_Zprime.cmi
1844:info:build   FC       omega_spinors.lo
1845:info:build   FC       omega_bispinors.lo
1846:info:build   FC       omega_vectors.lo
1847:info:build   OCAMLC    colorize.cmi
1848:info:build   OCAMLC    cascade_lexer.cmi
1849:info:build   OCAMLC    modeltools.cmi
1850:info:build   OCAMLOPT  combinatorics.cmx
1851:info:build   OCAMLOPT  tree.cmx
1852:info:build   OCAMLOPT  charges.cmx
1853:info:build File "tree.ml", line 154, characters 16-25:
1854:info:build Warning 3: deprecated: Stdlib.Sort.list
1855:info:build Use List.sort instead.
1856:info:build File "tree.ml", line 228, characters 16-25:
1857:info:build Warning 3: deprecated: Stdlib.Sort.list
1858:info:build Use List.sort instead.
1859:info:build   OCAMLOPT  cascade_lexer.cmx
1860:info:build   OCAMLC    vertex_lexer.cmi
1861:info:build   OCAMLC    UFOx_lexer.cmi
1862:info:build   OCAMLC    UFO_lexer.cmi
1863:info:build   OCAMLC    cascade.cmi
1864:info:build   OCAMLOPT  tuple.cmx
1865:info:build   OCAMLOPT  color.cmx
1866:info:build   OCAMLOPT  modeltools.cmx
1867:info:build   OCAMLOPT  vertex_lexer.cmx
1868:info:build   OCAMLC    vertex.cmi
1869:info:build   OCAMLOPT  UFOx_lexer.cmx
1870:info:build   OCAMLOPT  UFO_lexer.cmx
1871:info:build   OCAMLC    UFOx.cmi
1872:info:build   OCAMLC    UFO.cmi
1873:info:build   OCAMLOPT  modellib_SM.cmx
1874:info:build   OCAMLOPT  modellib_MSSM.cmx
1875:info:build   OCAMLOPT  modellib_NoH.cmx
1876:info:build   OCAMLOPT  modellib_NMSSM.cmx
1877:info:build   OCAMLOPT  modellib_PSSSM.cmx
1878:info:build   OCAMLOPT  modellib_BSM.cmx
1879:info:build   OCAMLOPT  modellib_WZW.cmx
1880:info:build   OCAMLOPT  modellib_Zprime.cmx
1881:info:build   OCAMLC    fusion.cmi
1882:info:build   OCAMLOPT  topology.cmx
1883:info:build   OCAMLOPT  DAG.cmx
1884:info:build   OCAMLOPT  process.cmx
1885:info:build   OCAMLOPT  colorize.cmx
1886:info:build   OCAMLOPT  cascade.cmx
1887:info:build   OCAMLC    whizard.cmi
1888:info:build File "colorize.ml", line 223, characters 16-31:
1889:info:build Warning 52: Code should not depend on the actual values of
1890:info:build this constructor's arguments. They are only for information
1891:info:build and may change in future versions. (See manual section 9.5)
1892:info:build   OCAMLOPT  vertex.cmx
1893:info:build   OCAMLOPT  UFOx.cmx
1894:info:build File "vertex.ml", line 1511, characters 10-1460:
1895:info:build Warning 8: this pattern-matching is not exhaustive.
1896:info:build Here is an example of a case that is not matched:
1897:info:build (_::_::_::_|_::[]|[])
1898:info:build File "UFOx.ml", line 239, characters 10-14:
1899:info:build Warning 26: unused variable free.
1900:info:build   FC       omega_vectorspinors.lo
1901:info:build   FC       omega_tensors.lo
1902:info:build   FC       omega_polarizations.lo
1903:info:build   FC       omega_polarizations_madgraph.lo
1904:info:build   OCAMLC    target.cmi
1905:info:build   OCAMLOPT  fusion.cmx
1906:info:build   OCAMLOPT  UFO.cmx
1907:info:build   FC       omega_utils.lo
1908:info:build   OCAMLC    omega.cmi
1909:info:build File "UFO.ml", line 187, characters 10-26:
1910:info:build Warning 3: deprecated: Stdlib.String.lowercase
1911:info:build Use String.lowercase_ascii instead.
1912:info:build   OCAMLC    targets.cmi
1913:info:build File "UFO.ml", line 1913, characters 25-41:
1914:info:build Warning 3: deprecated: Stdlib.String.uppercase
1915:info:build Use String.uppercase_ascii instead.
1916:info:build File "UFO.ml", line 1979, characters 21-37:
1917:info:build Warning 3: deprecated: Stdlib.String.uppercase
1918:info:build Use String.uppercase_ascii instead.
1919:info:build   FC       omega_couplings.lo
1920:info:build   FC       omega_tensor_polarizations.lo
1921:info:build File "fusion.ml", line 1336, characters 14-21:
1922:info:build Warning 26: unused variable wf_tags.
1923:info:build   OCAMLOPT  whizard.cmx
1924:info:build   OCAMLOPT  targets.cmx
1925:info:build File "targets.ml", line 1039, characters 10-8517:
1926:info:build Warning 8: this pattern-matching is not exhaustive.
1927:info:build Here is an example of a case that is not matched:
1928:info:build Aux_Gauge_Gauge _
1929:info:build   OCAMLOPT  omega.cmx
1930:info:build File "targets.ml", line 2184, characters 6-40:
1931:info:build Warning 3: deprecated: Stdlib.Format.set_all_formatter_output_functions
1932:info:build Use Format.set_formatter_out_functions instead.
1933:info:build File "omega.ml", line 159, characters 5-30:
1934:info:build Warning 26: unused variable prune_color_and_couplings.
1935:info:build   OCAMLOPT  omega_core.cmxa
1936:info:build   OCAMLOPT  omega_models.cmxa
1937:info:build File "targets.ml", line 5728, characters 12-41:
1938:info:build Warning 11: this match case is unused.
1939:info:build   FC       omega_bispinor_couplings.lo
1940:info:build   FC       omega_spinor_couplings.lo
1941:info:build   OCAMLOPT  omega_QED.cmx
1942:info:build   OCAMLOPT  omega_targets.cmxa
1943:info:build   OCAMLOPT  omega_QED_VM.cmx
1944:info:build   OCAMLOPT  omega_QCD_VM.cmx
1945:info:build   OCAMLOPT  omega_QCD.cmx
1946:info:build   OCAMLOPT  omega_SM.cmx
1947:info:build   OCAMLOPT  omega_SM_VM.cmx
1948:info:build   OCAMLOPT  omega_SM_CKM.cmx
1949:info:build   OCAMLOPT  omega_SM_CKM_VM.cmx
1950:info:build   OCAMLOPT  omega_SM_ac.cmx
1951:info:build   OCAMLOPT  omega_SM_ac_CKM.cmx
1952:info:build   OCAMLOPT  omega_SM_dim6.cmx
1953:info:build   OCAMLOPT  omega_SM_top.cmx
1954:info:build   OCAMLOPT  omega_SM_top_anom.cmx
1955:info:build   OCAMLOPT  omega_SM_tt_threshold.cmx
1956:info:build   OCAMLOPT  omega_SM_Higgs.cmx
1957:info:build   OCAMLOPT  omega_SM_Higgs_VM.cmx
1958:info:build   OCAMLOPT  omega_SM_Higgs_CKM.cmx
1959:info:build   OCAMLOPT  omega_SM_Higgs_CKM_VM.cmx
1960:info:build   OCAMLOPT  omega_THDM.cmx
1961:info:build   OCAMLOPT  omega_THDM_VM.cmx
1962:info:build   OCAMLOPT  omega_THDM_CKM.cmx
1963:info:build   OCAMLOPT  omega_THDM_CKM_VM.cmx
1964:info:build   OCAMLOPT  omega_MSSM.cmx
1965:info:build   OCAMLOPT  omega_MSSM_CKM.cmx
1966:info:build   OCAMLOPT  omega_MSSM_Grav.cmx
1967:info:build   OCAMLOPT  omega_MSSM_Hgg.cmx
1968:info:build   OCAMLOPT  omega_NMSSM.cmx
1969:info:build   OCAMLOPT  omega_NMSSM_CKM.cmx
1970:info:build   OCAMLOPT  omega_NMSSM_Hgg.cmx
1971:info:build   OCAMLOPT  omega_PSSSM.cmx
1972:info:build   OCAMLOPT  omega_Littlest.cmx
1973:info:build   OCAMLOPT  omega_Littlest_Eta.cmx
1974:info:build   OCAMLOPT  omega_Littlest_Tpar.cmx
1975:info:build   OCAMLOPT  omega_Simplest.cmx
1976:info:build   OCAMLOPT  omega_Simplest_univ.cmx
1977:info:build   OCAMLOPT  omega_Xdim.cmx
1978:info:build   OCAMLOPT  omega_GravTest.cmx
1979:info:build   OCAMLOPT  omega_NoH_rx.cmx
1980:info:build   OCAMLOPT  omega_AltH.cmx
1981:info:build   OCAMLOPT  omega_SM_rx.cmx
1982:info:build   OCAMLOPT  omega_SM_ul.cmx
1983:info:build   OCAMLOPT  omega_SSC.cmx
1984:info:build   OCAMLOPT  omega_SSC_2.cmx
1985:info:build   OCAMLOPT  omega_SSC_AltT.cmx
1986:info:build   OCAMLOPT  omega_UED.cmx
1987:info:build   OCAMLOPT  omega_WZW.cmx
1988:info:build   OCAMLOPT  omega_Zprime.cmx
1989:info:build   OCAMLOPT  omega_Zprime_VM.cmx
1990:info:build   OCAMLOPT  omega_Threeshl.cmx
1991:info:build   OCAMLOPT  omega_Threeshl_nohf.cmx
1992:info:build   OCAMLOPT  omega_HSExt.cmx
1993:info:build   OCAMLOPT  omega_HSExt_VM.cmx
1994:info:build   OCAMLOPT  omega_Template.cmx
1995:info:build   OCAMLOPT  omega_SYM.cmx
1996:info:build   OCAMLOPT  omega_UFO.cmx
1997:info:build   FC       omega95.lo
1998:info:build   FC       omega_vspinor_polarizations.lo
1999:info:build   FC       omega95_bispinors.lo
2000:info:build   FC       omegavm95.lo
2001:info:build   FCLD     libomega_core.la
2002:info:build /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/ranlib: file: .libs/libomega_core.a(constants.o) has no symbols
2003:info:build /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/ranlib: file: .libs/libomega_core.a(omega95.o) has no symbols
2004:info:build /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/ranlib: file: .libs/libomega_core.a(omega95_bispinors.o) has no symbols
2005:info:build /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/ranlib: file: .libs/libomega_core.a(constants.o) has no symbols
2006:info:build /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/ranlib: file: .libs/libomega_core.a(omega95.o) has no symbols
2007:info:build /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/ranlib: file: .libs/libomega_core.a(omega95_bispinors.o) has no symbols
2008:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/src'
2009:info:build Making all in lib
2010:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/lib'
2011:info:build make[2]: Nothing to be done for `all'.
2012:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/lib'
2013:info:build Making all in bin
2014:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/bin'
2015:info:build   OCAMLOPT  omega_QED.opt
2016:info:build   OCAMLOPT  omega_QED_VM.opt
2017:info:build   OCAMLOPT  omega_QCD.opt
2018:info:build   OCAMLOPT  omega_QCD_VM.opt
2019:info:build   OCAMLOPT  omega_SM.opt
2020:info:build   OCAMLOPT  omega_SM_VM.opt
2021:info:build   OCAMLOPT  omega_SM_CKM.opt
2022:info:build   OCAMLOPT  omega_SM_CKM_VM.opt
2023:info:build   OCAMLOPT  omega_SM_ac.opt
2024:info:build   OCAMLOPT  omega_SM_ac_CKM.opt
2025:info:build   OCAMLOPT  omega_SM_dim6.opt
2026:info:build   OCAMLOPT  omega_SM_top.opt
2027:info:build   OCAMLOPT  omega_SM_top_anom.opt
2028:info:build   OCAMLOPT  omega_SM_tt_threshold.opt
2029:info:build   OCAMLOPT  omega_SM_Higgs.opt
2030:info:build   OCAMLOPT  omega_SM_Higgs_VM.opt
2031:info:build   OCAMLOPT  omega_SM_Higgs_CKM.opt
2032:info:build   OCAMLOPT  omega_SM_Higgs_CKM_VM.opt
2033:info:build   OCAMLOPT  omega_THDM.opt
2034:info:build   OCAMLOPT  omega_THDM_VM.opt
2035:info:build   OCAMLOPT  omega_THDM_CKM.opt
2036:info:build   OCAMLOPT  omega_THDM_CKM_VM.opt
2037:info:build   OCAMLOPT  omega_MSSM.opt
2038:info:build   OCAMLOPT  omega_MSSM_CKM.opt
2039:info:build   OCAMLOPT  omega_MSSM_Grav.opt
2040:info:build   OCAMLOPT  omega_MSSM_Hgg.opt
2041:info:build   OCAMLOPT  omega_NMSSM.opt
2042:info:build   OCAMLOPT  omega_NMSSM_CKM.opt
2043:info:build   OCAMLOPT  omega_NMSSM_Hgg.opt
2044:info:build   OCAMLOPT  omega_PSSSM.opt
2045:info:build   OCAMLOPT  omega_Littlest.opt
2046:info:build   OCAMLOPT  omega_Littlest_Eta.opt
2047:info:build   OCAMLOPT  omega_Littlest_Tpar.opt
2048:info:build   OCAMLOPT  omega_Simplest.opt
2049:info:build   OCAMLOPT  omega_Simplest_univ.opt
2050:info:build   OCAMLOPT  omega_Xdim.opt
2051:info:build   OCAMLOPT  omega_GravTest.opt
2052:info:build   OCAMLOPT  omega_NoH_rx.opt
2053:info:build   OCAMLOPT  omega_AltH.opt
2054:info:build   OCAMLOPT  omega_SM_rx.opt
2055:info:build   OCAMLOPT  omega_SM_ul.opt
2056:info:build   OCAMLOPT  omega_SSC.opt
2057:info:build   OCAMLOPT  omega_SSC_2.opt
2058:info:build   OCAMLOPT  omega_SSC_AltT.opt
2059:info:build   OCAMLOPT  omega_UED.opt
2060:info:build   OCAMLOPT  omega_WZW.opt
2061:info:build   OCAMLOPT  omega_Zprime.opt
2062:info:build   OCAMLOPT  omega_Zprime_VM.opt
2063:info:build   OCAMLOPT  omega_Threeshl.opt
2064:info:build   OCAMLOPT  omega_Threeshl_nohf.opt
2065:info:build   OCAMLOPT  omega_HSExt.opt
2066:info:build   OCAMLOPT  omega_HSExt_VM.opt
2067:info:build   OCAMLOPT  omega_Template.opt
2068:info:build   OCAMLOPT  omega_SYM.opt
2069:info:build   OCAMLOPT  omega_UFO.opt
2070:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/bin'
2071:info:build Making all in models
2072:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/models'
2073:info:build make[2]: Nothing to be done for `all'.
2074:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/models'
2075:info:build Making all in share
2076:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/share'
2077:info:build Making all in doc
2078:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/share/doc'
2079:info:build make[3]: Nothing to be done for `all'.
2080:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/share/doc'
2081:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/share'
2082:info:build make[3]: Nothing to be done for `all-am'.
2083:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/share'
2084:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/share'
2085:info:build Making all in tests
2086:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/tests'
2087:info:build Making all in UFO
2088:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/tests/UFO'
2089:info:build Making all in SM
2090:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/tests/UFO/SM'
2091:info:build make[4]: Nothing to be done for `all'.
2092:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/tests/UFO/SM'
2093:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/tests/UFO'
2094:info:build make[4]: Nothing to be done for `all-am'.
2095:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/tests/UFO'
2096:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/tests/UFO'
2097:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/tests'
2098:info:build make[3]: Nothing to be done for `all-am'.
2099:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/tests'
2100:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/tests'
2101:info:build Making all in extensions
2102:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/extensions'
2103:info:build Making all in people
2104:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/extensions/people'
2105:info:build Making all in jr
2106:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/extensions/people/jr'
2107:info:build make[4]: Nothing to be done for `all'.
2108:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/extensions/people/jr'
2109:info:build Making all in tho
2110:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/extensions/people/tho'
2111:info:build make[4]: Nothing to be done for `all'.
2112:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/extensions/people/tho'
2113:info:build make[4]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/extensions/people'
2114:info:build make[4]: Nothing to be done for `all-am'.
2115:info:build make[4]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/extensions/people'
2116:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/extensions/people'
2117:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/extensions'
2118:info:build make[3]: Nothing to be done for `all-am'.
2119:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/extensions'
2120:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/extensions'
2121:info:build Making all in tools
2122:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/tools'
2123:info:build make[2]: Nothing to be done for `all'.
2124:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/tools'
2125:info:build Making all in scripts
2126:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/scripts'
2127:info:build make[2]: Nothing to be done for `all'.
2128:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega/scripts'
2129:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega'
2130:info:build make[2]: Nothing to be done for `all-am'.
2131:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega'
2132:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/omega'
2133:info:build Making all in vamp
2134:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp'
2135:info:build Making all in src
2136:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/src'
2137:info:build Makefile:992: Makefile.depend: No such file or directory
2138:info:build for src in divisions.f90 vamp.f90 exceptions.f90 tao_random_numbers.f90 tao52_random_numbers.f90 specfun.f90 vamp_stat.f90 histograms.f90 utils.f90 linalg.f90 products.f90; do \
2139:info:build       module="`basename $src | sed 's/\.f[90][0358]//'`"; \
2140:info:build       grep '^ *use ' $src \
2141:info:build         | grep -v '!NODEP!' \
2142:info:build         | sed -e 's/^ *use */'$module'.lo: /' \
2143:info:build               -e 's/, *only:.*//' \
2144:info:build               -e 's/, *&//' \
2145:info:build               -e 's/, *.*=>.*//' \
2146:info:build               -e 's/ *$/.lo/' \
2147:info:build                              -e 's/iso_fortran_env\.lo//' ; \
2148:info:build     done > Makefile.depend
2149:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/src'
2150:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/src'
2151:info:build   FC       kinds.lo
2152:info:build   FC       exceptions.lo
2153:info:build   FC       vamp_stat.lo
2154:info:build   FC       utils.lo
2155:info:build   FC       tao_random_numbers.lo
2156:info:build   FC       tao52_random_numbers.lo
2157:info:build   FC       specfun.lo
2158:info:build   FC       products.lo
2159:info:build   FC       divisions.lo
2160:info:build   FC       linalg.lo
2161:info:build   FC       histograms.lo
2162:info:build   FC       vamp.lo
2163:info:build   FCLD     libvamp.la
2164:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/src'
2165:info:build Making all in share
2166:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/share'
2167:info:build Making all in doc
2168:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/share/doc'
2169:info:build make[3]: Nothing to be done for `all'.
2170:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/share/doc'
2171:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/share'
2172:info:build make[3]: Nothing to be done for `all-am'.
2173:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/share'
2174:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/share'
2175:info:build Making all in tests
2176:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/tests'
2177:info:build Makefile:1240: Makefile.depend: No such file or directory
2178:info:build for src in tao_test.f90 vamp_test0.f90 vamp_test.f90 constants.f90 kinematics.f90 coordinates.f90; do \
2179:info:build       module="`basename $src | sed 's/\.f[90][0358]//'`"; \
2180:info:build       grep '^ *use ' $src \
2181:info:build         | grep -v '!NODEP!' \
2182:info:build         | sed -e 's/^ *use */'$module'.o: /' \
2183:info:build               -e 's/, *only:.*//' \
2184:info:build               -e 's/, *&//' \
2185:info:build               -e 's/, *.*=>.*//' \
2186:info:build               -e 's/ *$/.o/' ; \
2187:info:build     done > Makefile.depend
2188:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/tests'
2189:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/tests'
2190:info:build make[2]: Nothing to be done for `all'.
2191:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp/tests'
2192:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp'
2193:info:build make[2]: Nothing to be done for `all-am'.
2194:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp'
2195:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/vamp'
2196:info:build Making all in mcfio
2197:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/mcfio'
2198:info:build   CC       mcfio_Util1.lo
2199:info:build   F77      mcfio_FPrintDictionary.lo
2200:info:build   CC       mcf_ntuBldDbinc.lo
2201:info:build   CC       mcf_NTuIOUtils.lo
2202:info:build   CC       mcf_NTuIOFiles.lo
2203:info:build   CC       mcfio_UserDictionary.lo
2204:info:build   CC       mcf_evt_xdr.lo
2205:info:build   CC       mcfio_FBinding.lo
2206:info:build   CC       mcfio_Direct.lo
2207:info:build mcf_evt_xdr.c: In function 'xdr_mcfast_descrNTU':
2208:info:build mcf_evt_xdr.c:640:26: warning: passing argument 2 of 'xdr_long' from incompatible pointer type [-Wincompatible-pointer-types]
2209:info:build       if (xdr_long(xdrs,  &(dNTu->multOffset)) == FALSE) return FALSE;
2210:info:build                           ^~~~~~~~~~~~~~~~~~~
2211:info:build In file included from mcf_evt_xdr.c:29:
2212:info:build /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX.sdk/usr/include/rpc/xdr.h:373:31: note: expected 'int *' but argument is of type 'long int *'
2213:info:build  extern bool_t xdr_long(XDR *, int *);
2214:info:build                                ^~~~~
2215:info:build mcf_evt_xdr.c:641:26: warning: passing argument 2 of 'xdr_long' from incompatible pointer type [-Wincompatible-pointer-types]
2216:info:build       if (xdr_long(xdrs,  &(dNTu->fenceOffset)) == FALSE) return FALSE;
2217:info:build                           ^~~~~~~~~~~~~~~~~~~~
2218:info:build In file included from mcf_evt_xdr.c:29:
2219:info:build /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX.sdk/usr/include/rpc/xdr.h:373:31: note: expected 'int *' but argument is of type 'long int *'
2220:info:build  extern bool_t xdr_long(XDR *, int *);
2221:info:build                                ^~~~~
2222:info:build mcf_evt_xdr.c: In function 'xdr_mcfast_varDescrNTU':
2223:info:build mcf_evt_xdr.c:694:24: warning: passing argument 2 of 'xdr_long' from incompatible pointer type [-Wincompatible-pointer-types]
2224:info:build       if (xdr_long(xdrs,&(var->offset)) == FALSE) return FALSE;
2225:info:build                         ^~~~~~~~~~~~~~
2226:info:build In file included from mcf_evt_xdr.c:29:
2227:info:build /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX.sdk/usr/include/rpc/xdr.h:373:31: note: expected 'int *' but argument is of type 'long int *'
2228:info:build  extern bool_t xdr_long(XDR *, int *);
2229:info:build                                ^~~~~
2230:info:build mcf_evt_xdr.c: In function 'xdr_mcfast_NTuple':
2231:info:build mcf_evt_xdr.c:802:43: warning: passing argument 2 of 'xdr_long' from incompatible pointer type [-Wincompatible-pointer-types]
2232:info:build                        ok = xdr_long(xdrs, (long *) pt);
2233:info:build                                            ^~~~~~~~~~~
2234:info:build In file included from mcf_evt_xdr.c:29:
2235:info:build /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX.sdk/usr/include/rpc/xdr.h:373:31: note: expected 'int *' but argument is of type 'long int *'
2236:info:build  extern bool_t xdr_long(XDR *, int *);
2237:info:build                                ^~~~~
2238:info:build mcf_evt_xdr.c:941:43: warning: passing argument 2 of 'xdr_long' from incompatible pointer type [-Wincompatible-pointer-types]
2239:info:build                        ok = xdr_long(xdrs, (long *) pt);
2240:info:build                                            ^~~~~~~~~~~
2241:info:build In file included from mcf_evt_xdr.c:29:
2242:info:build /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX.sdk/usr/include/rpc/xdr.h:373:31: note: expected 'int *' but argument is of type 'long int *'
2243:info:build  extern bool_t xdr_long(XDR *, int *);
2244:info:build                                ^~~~~
2245:info:build mcf_evt_xdr.c: In function 'xdr_mcfast_NTupleXDRPtr':
2246:info:build mcf_evt_xdr.c:1090:43: warning: passing argument 2 of 'xdr_long' from incompatible pointer type [-Wincompatible-pointer-types]
2247:info:build                        ok = xdr_long(xdrs, (long *) pt);
2248:info:build                                            ^~~~~~~~~~~
2249:info:build In file included from mcf_evt_xdr.c:29:
2250:info:build /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX.sdk/usr/include/rpc/xdr.h:373:31: note: expected 'int *' but argument is of type 'long int *'
2251:info:build  extern bool_t xdr_long(XDR *, int *);
2252:info:build                                ^~~~~
2253:info:build mcf_evt_xdr.c:1235:43: warning: passing argument 2 of 'xdr_long' from incompatible pointer type [-Wincompatible-pointer-types]
2254:info:build                        ok = xdr_long(xdrs, (long *) pt);
2255:info:build                                            ^~~~~~~~~~~
2256:info:build In file included from mcf_evt_xdr.c:29:
2257:info:build /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX.sdk/usr/include/rpc/xdr.h:373:31: note: expected 'int *' but argument is of type 'long int *'
2258:info:build  extern bool_t xdr_long(XDR *, int *);
2259:info:build                                ^~~~~
2260:info:build mcf_evt_xdr.c: In function 'xdr_mcfast_NTupleVar':
2261:info:build mcf_evt_xdr.c:1363:50: warning: passing argument 2 of 'xdr_long' from incompatible pointer type [-Wincompatible-pointer-types]
2262:info:build                        return  xdr_long(str->xdr, (long *) pt);
2263:info:build                                                   ^~~~~~~~~~~
2264:info:build In file included from mcf_evt_xdr.c:29:
2265:info:build /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX.sdk/usr/include/rpc/xdr.h:373:31: note: expected 'int *' but argument is of type 'long int *'
2266:info:build  extern bool_t xdr_long(XDR *, int *);
2267:info:build                                ^~~~~
2268:info:build mcf_evt_xdr.c: In function 'xdr_mcfast_NTupleSubVar':
2269:info:build mcf_evt_xdr.c:1453:50: warning: passing argument 2 of 'xdr_long' from incompatible pointer type [-Wincompatible-pointer-types]
2270:info:build                        return  xdr_long(str->xdr, (long *) pt);
2271:info:build                                                   ^~~~~~~~~~~
2272:info:build In file included from mcf_evt_xdr.c:29:
2273:info:build /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX.sdk/usr/include/rpc/xdr.h:373:31: note: expected 'int *' but argument is of type 'long int *'
2274:info:build  extern bool_t xdr_long(XDR *, int *);
2275:info:build                                ^~~~~
2276:info:build mcf_evt_xdr.c: In function 'xdr_mcfast_NTupleSubStruct':
2277:info:build mcf_evt_xdr.c:1549:47: warning: passing argument 2 of 'xdr_long' from incompatible pointer type [-Wincompatible-pointer-types]
2278:info:build                        ok = xdr_long(str->xdr, (long *) pt);
2279:info:build                                                ^~~~~~~~~~~
2280:info:build In file included from mcf_evt_xdr.c:29:
2281:info:build /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX.sdk/usr/include/rpc/xdr.h:373:31: note: expected 'int *' but argument is of type 'long int *'
2282:info:build  extern bool_t xdr_long(XDR *, int *);
2283:info:build                                ^~~~~
2284:info:build   CC       mcfio_SeqDummy.lo
2285:info:build   CC       mcfio_Block.lo
2286:info:build   CC       mcf_ntubldInit.lo
2287:info:build   F77LD    libwo_mcfio.la
2288:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/mcfio'
2289:info:build Making all in stdhep
2290:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/stdhep'
2291:info:build   CC       mcf_hepev4_xdr.lo
2292:info:build   CC       mcf_hepup_xdr.lo
2293:info:build   CC       mcf_stdcm1_xdr.lo
2294:info:build   CC       mcf_Stdhep_xdr.lo
2295:info:build   CC       stdhep_mcfio.lo
2296:info:build   CC       stdhep_internal_utils.lo
2297:info:build   F77      stdtcopy.lo
2298:info:build   F77      stdversn.lo
2299:info:build   F77      stdxend.lo
2300:info:build   F77      stdxrd.lo
2301:info:build   F77      stdxrinit.lo
2302:info:build   F77      stdxropen.lo
2303:info:build   F77      stdxwcm1.lo
2304:info:build   F77      stdxwevt.lo
2305:info:build   F77      stdxwevtlh.lo
2306:info:build   F77      stdxwevtup.lo
2307:info:build   F77      stdxwinit.lo
2308:info:build   F77      stdxwopen.lo
2309:info:build   F77      stdxwrt.lo
2310:info:build   F77      stdzero.lo
2311:info:build   F77LD    libwo_stdhep.la
2312:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/stdhep'
2313:info:build Making all in tauola
2314:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/tauola'
2315:info:build   F77      tauface-jetset.lo
2316:info:build   F77      formf.lo
2317:info:build   F77      photos.lo
2318:info:build   F77      tauola.lo
2319:info:build   F77      tauola_photos_ini.lo
2320:info:build tauface-jetset.f:1224:20:
2321:info:build        COMMON/LUJETS/N,K(4000,5),P(4000,5),V(4000,5)
2322:info:build                     1
2323:info:build Warning: Padding of 4 bytes required before 'p' in COMMON 'lujets' at (1); reorder elements or use -fno-align-commons [-Walign-commons]
2324:info:build   F77LD    libtauola_wo.la
2325:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/tauola'
2326:info:build Making all in pythia6
2327:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/pythia6'
2328:info:build   F77      pythia.lo
2329:info:build   F77      pythia_pdf.lo
2330:info:build   F77LD    libpythia6_wo.la
2331:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/pythia6'
2332:info:build Making all in src
2333:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src'
2334:info:build Making all in noweb-frame
2335:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/noweb-frame'
2336:info:build make[2]: Nothing to be done for `all'.
2337:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/noweb-frame'
2338:info:build Making all in basics
2339:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/basics'
2340:info:build diff: Modules: No such file or directory
2341:info:build Modules updated
2342:info:build /Applications/Xcode.app/Contents/Developer/usr/bin/make  all-am
2343:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/basics'
2344:info:build   FC       iso_varying_string.lo
2345:info:build   FC       io_units.lo
2346:info:build   FC       kinds.lo
2347:info:build   FC       constants.lo
2348:info:build   FCLD     libbasics.la
2349:info:build /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/ranlib: file: .libs/libbasics.a(constants.o) has no symbols
2350:info:build /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/ranlib: file: .libs/libbasics.a(kinds.o) has no symbols
2351:info:build /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/ranlib: file: .libs/libbasics.a(constants.o) has no symbols
2352:info:build /Applications/Xcode.app/Contents/Developer/Toolchains/XcodeDefault.xctoolchain/usr/bin/ranlib: file: .libs/libbasics.a(kinds.o) has no symbols
2353:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/basics'
2354:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/basics'
2355:info:build Making all in hepmc
2356:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/hepmc'
2357:info:build   FC       HepMCWrap_dummy.lo
2358:info:build   FCLD     libHepMCWrap_dummy.la
2359:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/hepmc'
2360:info:build Making all in lcio
2361:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/lcio'
2362:info:build   FC       LCIOWrap_dummy.lo
2363:info:build   FCLD     libLCIOWrap_dummy.la
2364:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/lcio'
2365:info:build Making all in lhapdf
2366:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/lhapdf'
2367:info:build   FC       LHAPDFWrap_dummy.lo
2368:info:build   FC       lhapdf.lo
2369:info:build   FCLD     libLHAPDFWrap_dummy.la
2370:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/lhapdf'
2371:info:build Making all in lhapdf5
2372:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/lhapdf5'
2373:info:build   FC       lhapdf5_full_dummy.lo
2374:info:build   FC       lhapdf5_has_photon_dummy.lo
2375:info:build   FCLD     libLHAPDF5_dummy.la
2376:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/lhapdf5'
2377:info:build Making all in looptools
2378:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/looptools'
2379:info:build   PPF77    looptools_dummy.lo
2380:info:build   F77LD    liblooptools_dummy.la
2381:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/looptools'
2382:info:build Making all in pythia8
2383:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/pythia8'
2384:info:build   FC       Pythia8Wrap_dummy.lo
2385:info:build   FCLD     libPythia8Wrap_dummy.la
2386:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/pythia8'
2387:info:build Making all in xdr
2388:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/xdr'
2389:info:build Makefile:1024: Makefile.depend: No such file or directory
2390:info:build echo 's/, *only:.*//' >> Module_dependencies.sed
2391:info:build echo 's/, *&//' >> Module_dependencies.sed
2392:info:build echo 's/, *.*=>.*//' >> Module_dependencies.sed
2393:info:build echo 's/$/.lo/' >> Module_dependencies.sed
2394:info:build for list in ../basics/Modules; do \
2395:info:build             dir="`dirname $list`"; \
2396:info:build             for mod in `cat $list`; do \
2397:info:build                     echo 's!: '$mod'.lo$!': $dir/$mod'.lo!' >> Module_dependencies.sed; \
2398:info:build             done \
2399:info:build     done
2400:info:build for src in xdr_wo_stdhep.f90 Module_dependencies.sed; do \
2401:info:build       module="`basename $src | sed 's/\.f[90][0358]//'`"; \
2402:info:build       grep '^ *use ' $src \
2403:info:build         | grep -v '!NODEP!' \
2404:info:build         | sed -e 's/^ *use */'$module'.lo: /' \
2405:info:build               -f Module_dependencies.sed; \
2406:info:build     done > Makefile.depend
2407:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/xdr'
2408:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/xdr'
2409:info:build diff: Modules: No such file or directory
2410:info:build Modules updated
2411:info:build /Applications/Xcode.app/Contents/Developer/usr/bin/make  all-am
2412:info:build make[3]: Entering directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/xdr'
2413:info:build   CXX      WOStdHep.lo
2414:info:build   CXX      WOXDR.lo
2415:info:build   CXX      WOStdHepRdr.lo
2416:info:build   FC       xdr_wo_stdhep.lo
2417:info:build   CXX      StdHepRdr.o
2418:info:build WOXDR.cpp:14:10: fatal error: /usr/include/sys/types.h: No such file or directory
2419:info:build  #include "/usr/include/sys/types.h"
2420:info:build           ^~~~~~~~~~~~~~~~~~~~~~~~~~
2421:info:build compilation terminated.
2422:info:build make[3]: *** [WOXDR.lo] Error 1
2423:info:build make[3]: *** Waiting for unfinished jobs....
2424:info:build make[3]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/xdr'
2425:info:build make[2]: *** [all] Error 2
2426:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src/xdr'
2427:info:build make[1]: *** [all-recursive] Error 1
2428:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4/src'
2429:info:build make: *** [all-recursive] Error 1
2430:info:build make: Leaving directory `/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4'
2431:info:build Command failed:  cd "/opt/local/var/macports/build/_opt_mports_macports-ports_science_whizard/whizard/work/whizard-2.6.4" && /usr/bin/make -j8 -w all
2432:info:build Exit code: 2
2433:error:build Failed to build whizard: command execution failed
2434:debug:build Error code: CHILDSTATUS 14306 2
2435:debug:build Backtrace: command execution failed
2436:debug:build     while executing
2437:debug:build "system {*}$notty {*}$nice $fullcmdstring"
2438:debug:build     invoked from within
2439:debug:build "command_exec build"
2440:debug:build     (procedure "portbuild::build_main" line 8)
2441:debug:build     invoked from within
2442:debug:build "$procedure $targetname"
2443:error:build See /opt/local/var/macports/logs/_opt_mports_macports-ports_science_whizard/whizard/main.log for details.