Ticket #66444: main.log

File main.log, 112.7 KB (added by Dekoz3 (Denis Kozarenko), 17 months ago)

main.log

Line 
1version:1
2:debug:main Starting logging for check @0.15.2_0
3:debug:sysinfo macOS 13.0.1 (darwin/22.1.0) arch arm
4:debug:sysinfo MacPorts 2.8.0
5:debug:sysinfo Xcode 14.1, CLT 14.1.0.0.1.1666437224
6:debug:sysinfo SDK 13
7:debug:sysinfo MACOSX_DEPLOYMENT_TARGET: 13.0
8:debug:main Fetching check-0.15.2_0.darwin_22.arm64.tbz2 archive size
9:debug:main epoch: in tree: 0 installed: 0
10:debug:main pkgconfig 0.29.2_0 exists in the ports tree
11:debug:main pkgconfig 0.29.2_0  is the latest installed
12:debug:main pkgconfig 0.29.2_0  is active
13:debug:main Merging existing requested variants '' into variants
14:debug:main new fully merged portvariants:
15:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/pkgconfig
16:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
17:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
18:debug:main Sourcing PortGroup conflicts_build 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/conflicts_build-1.0.tcl
19:debug:main adding the default universal variant
20:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
21:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
22:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
23:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
24:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
25:debug:main Running callback portstartupitem::add_notes
26:debug:main Finished running callback portstartupitem::add_notes
27:debug:main No need to upgrade! pkgconfig 0.29.2_0 >= pkgconfig 0.29.2_0
28:debug:main epoch: in tree: 0 installed: 0
29:debug:main libiconv 1.17_0 exists in the ports tree
30:debug:main libiconv 1.17_0  is the latest installed
31:debug:main libiconv 1.17_0  is active
32:debug:main Merging existing requested variants '' into variants
33:debug:main new fully merged portvariants:
34:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/libiconv
35:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
36:debug:main Re-registering default for configure.universal_args
37:debug:main Re-registering default for configure.cc_archflags
38:debug:main Re-registering default for configure.objc_archflags
39:debug:main Re-registering default for configure.cxx_archflags
40:debug:main Re-registering default for configure.objcxx_archflags
41:debug:main Re-registering default for configure.fc_archflags
42:debug:main Re-registering default for configure.f90_archflags
43:debug:main Re-registering default for configure.f77_archflags
44:debug:main Re-registering default for configure.ld_archflags
45:debug:main Re-registering default for configure.universal_cflags
46:debug:main Re-registering default for configure.universal_objcflags
47:debug:main Re-registering default for configure.universal_cxxflags
48:debug:main Re-registering default for configure.universal_objcxxflags
49:debug:main Re-registering default for configure.universal_cppflags
50:debug:main Re-registering default for configure.universal_ldflags
51:debug:main Sourcing PortGroup muniversal 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.1.tcl
52:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
53:debug:main muniversal: adding universal variant
54:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
55:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
56:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
57:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
58:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
59:debug:main Running callback portstartupitem::add_notes
60:debug:main Finished running callback portstartupitem::add_notes
61:debug:main Running callback muniversal::add_compiler_flags
62:debug:main Finished running callback muniversal::add_compiler_flags
63:debug:main No need to upgrade! libiconv 1.17_0 >= libiconv 1.17_0
64:debug:main epoch: in tree: 1 installed: 1
65:debug:main autoconf 2.71_1 exists in the ports tree
66:debug:main autoconf 2.71_1  is the latest installed
67:debug:main autoconf 2.71_1  is active
68:debug:main Merging existing requested variants '' into variants
69:debug:main new fully merged portvariants:
70:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/autoconf
71:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
72:debug:main only one arch supported, so not adding the default universal variant
73:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
74:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
75:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
76:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
77:debug:main Running callback portstartupitem::add_notes
78:debug:main Finished running callback portstartupitem::add_notes
79:debug:main No need to upgrade! autoconf 2.71_1 >= autoconf 2.71_1
80:debug:main epoch: in tree: 2 installed: 2
81:debug:main gettext 0.21_0 exists in the ports tree
82:debug:main gettext 0.21_0  is the latest installed
83:debug:main gettext 0.21_0  is active
84:debug:main Merging existing requested variants '' into variants
85:debug:main new fully merged portvariants:
86:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
87:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
88:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
89:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
90:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
91:debug:main compiler clang 1400.0.29.202 not blacklisted because it doesn't match {clang < 211.10.1}
92:debug:main muniversal: adding universal variant
93:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
94:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
95:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
96:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
97:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
98:debug:main Running callback portstartupitem::add_notes
99:debug:main Finished running callback portstartupitem::add_notes
100:debug:main No need to upgrade! gettext 0.21_0 >= gettext 0.21_0
101:debug:main epoch: in tree: 0 installed: 0
102:debug:main libtextstyle 0.21_0 exists in the ports tree
103:debug:main libtextstyle 0.21_0  is the latest installed
104:debug:main libtextstyle 0.21_0  is active
105:debug:main Merging existing requested variants '' into variants
106:debug:main new fully merged portvariants:
107:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
108:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
109:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
110:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
111:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
112:debug:main compiler clang 1400.0.29.202 not blacklisted because it doesn't match {clang < 211.10.1}
113:debug:main muniversal: adding universal variant
114:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
115:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
116:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
117:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
118:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
119:debug:main Running callback portstartupitem::add_notes
120:debug:main Finished running callback portstartupitem::add_notes
121:debug:main No need to upgrade! libtextstyle 0.21_0 >= libtextstyle 0.21_0
122:debug:main epoch: in tree: 0 installed: 0
123:debug:main ncurses 6.3_0 exists in the ports tree
124:debug:main ncurses 6.3_0  is the latest installed
125:debug:main ncurses 6.3_0  is active
126:debug:main Merging existing requested variants '' into variants
127:debug:main new fully merged portvariants:
128:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/ncurses
129:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
130:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
131:debug:main adding the default universal variant
132:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
133:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
134:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
135:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
136:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
137:debug:main Running callback portstartupitem::add_notes
138:debug:main Finished running callback portstartupitem::add_notes
139:debug:main No need to upgrade! ncurses 6.3_0 >= ncurses 6.3_0
140:debug:main epoch: in tree: 0 installed: 0
141:debug:main gettext-runtime 0.21_0 exists in the ports tree
142:debug:main gettext-runtime 0.21_0  is the latest installed
143:debug:main gettext-runtime 0.21_0  is active
144:debug:main Merging existing requested variants '' into variants
145:debug:main new fully merged portvariants:
146:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
147:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
148:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
149:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
150:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
151:debug:main compiler clang 1400.0.29.202 not blacklisted because it doesn't match {clang < 211.10.1}
152:debug:main muniversal: adding universal variant
153:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
154:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
155:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
156:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
157:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
158:debug:main Running callback portstartupitem::add_notes
159:debug:main Finished running callback portstartupitem::add_notes
160:debug:main No need to upgrade! gettext-runtime 0.21_0 >= gettext-runtime 0.21_0
161:debug:main epoch: in tree: 0 installed: 0
162:debug:main gettext-tools-libs 0.21_0 exists in the ports tree
163:debug:main gettext-tools-libs 0.21_0  is the latest installed
164:debug:main gettext-tools-libs 0.21_0  is active
165:debug:main Merging existing requested variants '' into variants
166:debug:main new fully merged portvariants:
167:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
168:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
169:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
170:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
171:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
172:debug:main compiler clang 1400.0.29.202 not blacklisted because it doesn't match {clang < 211.10.1}
173:debug:main muniversal: adding universal variant
174:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
175:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
176:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
177:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
178:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
179:debug:main Running callback portstartupitem::add_notes
180:debug:main Finished running callback portstartupitem::add_notes
181:debug:main No need to upgrade! gettext-tools-libs 0.21_0 >= gettext-tools-libs 0.21_0
182:debug:main epoch: in tree: 0 installed: 0
183:debug:main m4 1.4.19_1 exists in the ports tree
184:debug:main m4 1.4.19_1  is the latest installed
185:debug:main m4 1.4.19_1  is active
186:debug:main Merging existing requested variants '' into variants
187:debug:main new fully merged portvariants:
188:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/m4
189:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
190:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
191:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
192:debug:main muniversal: adding universal variant
193:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
194:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
195:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
196:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
197:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
198:debug:main Running callback portstartupitem::add_notes
199:debug:main Finished running callback portstartupitem::add_notes
200:debug:main No need to upgrade! m4 1.4.19_1 >= m4 1.4.19_1
201:debug:main epoch: in tree: 0 installed: 0
202:debug:main automake 1.16.5_0 exists in the ports tree
203:debug:main automake 1.16.5_0  is the latest installed
204:debug:main automake 1.16.5_0  is active
205:debug:main Merging existing requested variants '' into variants
206:debug:main new fully merged portvariants:
207:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/automake
208:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
209:debug:main only one arch supported, so not adding the default universal variant
210:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
211:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
212:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
213:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
214:debug:main Running callback portstartupitem::add_notes
215:debug:main Finished running callback portstartupitem::add_notes
216:debug:main No need to upgrade! automake 1.16.5_0 >= automake 1.16.5_0
217:debug:main epoch: in tree: 0 installed: 0
218:debug:main libtool 2.4.7_0 exists in the ports tree
219:debug:main libtool 2.4.7_0  is the latest installed
220:debug:main libtool 2.4.7_0  is active
221:debug:main Merging existing requested variants '' into variants
222:debug:main new fully merged portvariants:
223:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/libtool
224:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
225:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
226:debug:main adding the default universal variant
227:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
228:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
229:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
230:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
231:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
232:debug:main Running callback portstartupitem::add_notes
233:debug:main Finished running callback portstartupitem::add_notes
234:debug:main No need to upgrade! libtool 2.4.7_0 >= libtool 2.4.7_0
235:msg:main --->  Computing dependencies for check:info:main .:debug:main check has no conflicts
236:debug:main Searching for dependency: pkgconfig
237:debug:main Found Dependency: receipt exists for pkgconfig
238:debug:main Searching for dependency: autoconf
239:debug:main Found Dependency: receipt exists for autoconf
240:debug:main Searching for dependency: automake
241:debug:main Found Dependency: receipt exists for automake
242:debug:main Searching for dependency: libtool
243:debug:main Found Dependency: receipt exists for libtool
244:debug:main Executing org.macports.main (check)
245:debug:main dropping privileges: euid changed to 502, egid changed to 501.
246:debug:archivefetch archivefetch phase started at Sun Dec 11 16:41:53 +03 2022
247:msg:archivefetch --->  Fetching archive for check
248:debug:archivefetch Executing org.macports.archivefetch (check)
249:debug:archivefetch euid/egid changed to: 0/0
250:debug:archivefetch chowned /opt/local/var/macports/incoming to macports
251:debug:archivefetch euid/egid changed to: 502/501
252:info:archivefetch --->  check-0.15.2_0.darwin_22.arm64.tbz2 doesn't seem to exist in /opt/local/var/macports/incoming/verified
253:msg:archivefetch --->  Attempting to fetch check-0.15.2_0.darwin_22.arm64.tbz2 from https://packages.macports.org/check
254:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
255:msg:archivefetch --->  Attempting to fetch check-0.15.2_0.darwin_22.arm64.tbz2 from https://cph.dk.packages.macports.org/check
256:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
257:msg:archivefetch --->  Attempting to fetch check-0.15.2_0.darwin_22.arm64.tbz2 from https://nue.de.packages.macports.org/check
258:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
259:debug:archivefetch Privilege de-escalation not attempted as not running as root.
260:debug:fetch fetch phase started at Sun Dec 11 16:41:55 +03 2022
261:notice:fetch --->  Fetching distfiles for check
262:debug:fetch Executing org.macports.fetch (check)
263:debug:fetch Privilege de-escalation not attempted as not running as root.
264:debug:checksum checksum phase started at Sun Dec 11 16:41:55 +03 2022
265:notice:checksum --->  Verifying checksums for check
266:debug:checksum Executing org.macports.checksum (check)
267:info:checksum --->  Checksumming check-0.15.2.tar.gz
268:debug:checksum Calculated (rmd160) is 56617db11452f9a72a700e45f03b3982bedfc368
269:debug:checksum Correct (rmd160) checksum for check-0.15.2.tar.gz
270:debug:checksum Calculated (sha256) is 272bc05b0db3b25177c5a4fb266fb67dabb4dc5ebba75f73074924b5c03fcaae
271:debug:checksum Correct (sha256) checksum for check-0.15.2.tar.gz
272:debug:checksum Calculated (size) is 306088
273:debug:checksum Correct (size) checksum for check-0.15.2.tar.gz
274:debug:checksum Privilege de-escalation not attempted as not running as root.
275:debug:extract extract phase started at Sun Dec 11 16:41:55 +03 2022
276:notice:extract --->  Extracting check
277:debug:extract Executing org.macports.extract (check)
278:info:extract --->  Extracting check-0.15.2.tar.gz
279:debug:extract setting option extract.args to '/opt/local/var/macports/distfiles/check/check-0.15.2.tar.gz'
280:debug:extract Environment:
281:debug:extract CC_PRINT_OPTIONS='YES'
282:debug:extract CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/.CC_PRINT_OPTIONS'
283:debug:extract CPATH='/opt/local/include'
284:debug:extract DEVELOPER_DIR='/Library/Developer/CommandLineTools'
285:debug:extract LIBRARY_PATH='/opt/local/lib'
286:debug:extract MACOSX_DEPLOYMENT_TARGET='13.0'
287:debug:extract SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk'
288:info:extract Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work" && /usr/bin/gzip -dc '/opt/local/var/macports/distfiles/check/check-0.15.2.tar.gz' | /usr/bin/tar -xf -
289:debug:extract system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work" && /usr/bin/gzip -dc '/opt/local/var/macports/distfiles/check/check-0.15.2.tar.gz' | /usr/bin/tar -xf -
290:debug:extract euid/egid changed to: 0/0
291:debug:extract chowned /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work to macports
292:debug:extract euid/egid changed to: 502/501
293:debug:extract Symlink: /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2 -> libcheck-check-11970a7
294:debug:extract Executing proc-post-org.macports.extract-extract-0
295:debug:extract Privilege de-escalation not attempted as not running as root.
296:debug:patch patch phase started at Sun Dec 11 16:41:55 +03 2022
297:debug:patch Executing org.macports.patch (check)
298:debug:patch Privilege de-escalation not attempted as not running as root.
299:debug:configure configure phase started at Sun Dec 11 16:41:55 +03 2022
300:notice:configure --->  Configuring check
301:debug:configure Preferred compilers: clang macports-clang-14 macports-clang-13 macports-clang-12 macports-clang-11
302:debug:configure Using compiler 'Xcode Clang'
303:debug:configure Executing org.macports.configure (check)
304:debug:configure Environment:
305:debug:configure CC_PRINT_OPTIONS='YES'
306:debug:configure CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/.CC_PRINT_OPTIONS'
307:debug:configure CPATH='/opt/local/include'
308:debug:configure DEVELOPER_DIR='/Library/Developer/CommandLineTools'
309:debug:configure LIBRARY_PATH='/opt/local/lib'
310:debug:configure MACOSX_DEPLOYMENT_TARGET='13.0'
311:debug:configure SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk'
312:info:configure Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2" && autoreconf --install --verbose
313:debug:configure system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2" && autoreconf --install --verbose
314:info:configure autoreconf: export WARNINGS=
315:info:configure autoreconf: Entering directory '.'
316:info:configure autoreconf: configure.ac: not using Gettext
317:info:configure autoreconf: running: /opt/local/bin/aclocal -I m4
318:info:configure autoreconf: configure.ac: tracing
319:info:configure autoreconf: running: /opt/local/bin/glibtoolize --copy
320:info:configure glibtoolize: putting auxiliary files in '.'.
321:info:configure glibtoolize: copying file './ltmain.sh'
322:info:configure glibtoolize: putting macros in AC_CONFIG_MACRO_DIRS, 'm4'.
323:info:configure glibtoolize: copying file 'm4/libtool.m4'
324:info:configure glibtoolize: copying file 'm4/ltoptions.m4'
325:info:configure glibtoolize: copying file 'm4/ltsugar.m4'
326:info:configure glibtoolize: copying file 'm4/ltversion.m4'
327:info:configure glibtoolize: copying file 'm4/lt~obsolete.m4'
328:info:configure autoreconf: configure.ac: not using Intltool
329:info:configure autoreconf: configure.ac: not using Gtkdoc
330:info:configure autoreconf: running: /opt/local/bin/aclocal -I m4
331:info:configure autoreconf: running: /opt/local/bin/autoconf
332:info:configure configure.ac:41: warning: The macro `AC_HELP_STRING' is obsolete.
333:info:configure configure.ac:41: You should run autoupdate.
334:info:configure ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from...
335:info:configure configure.ac:41: the top level
336:info:configure configure.ac:67: warning: The macro `AC_HELP_STRING' is obsolete.
337:info:configure configure.ac:67: You should run autoupdate.
338:info:configure ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from...
339:info:configure configure.ac:67: the top level
340:info:configure configure.ac:76: warning: The macro `AC_HELP_STRING' is obsolete.
341:info:configure configure.ac:76: You should run autoupdate.
342:info:configure ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from...
343:info:configure configure.ac:76: the top level
344:info:configure configure.ac:87: warning: The macro `AC_HELP_STRING' is obsolete.
345:info:configure configure.ac:87: You should run autoupdate.
346:info:configure ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from...
347:info:configure configure.ac:87: the top level
348:info:configure configure.ac:107: warning: The macro `AC_HELP_STRING' is obsolete.
349:info:configure configure.ac:107: You should run autoupdate.
350:info:configure ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from...
351:info:configure configure.ac:107: the top level
352:info:configure configure.ac:116: warning: The macro `AC_HELP_STRING' is obsolete.
353:info:configure configure.ac:116: You should run autoupdate.
354:info:configure ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from...
355:info:configure configure.ac:116: the top level
356:info:configure configure.ac:124: warning: The macro `AC_HELP_STRING' is obsolete.
357:info:configure configure.ac:124: You should run autoupdate.
358:info:configure ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from...
359:info:configure configure.ac:124: the top level
360:info:configure configure.ac:143: warning: The macro `AC_PROG_LIBTOOL' is obsolete.
361:info:configure configure.ac:143: You should run autoupdate.
362:info:configure m4/libtool.m4:100: AC_PROG_LIBTOOL is expanded from...
363:info:configure configure.ac:143: the top level
364:info:configure configure.ac:214: warning: The macro `AC_LANG_C' is obsolete.
365:info:configure configure.ac:214: You should run autoupdate.
366:info:configure ./lib/autoconf/c.m4:72: AC_LANG_C is expanded from...
367:info:configure m4/acx_pthread.m4:82: ACX_PTHREAD is expanded from...
368:info:configure configure.ac:214: the top level
369:info:configure configure.ac:214: warning: The macro `AC_TRY_LINK' is obsolete.
370:info:configure configure.ac:214: You should run autoupdate.
371:info:configure ./lib/autoconf/general.m4:2920: AC_TRY_LINK is expanded from...
372:info:configure m4/acx_pthread.m4:82: ACX_PTHREAD is expanded from...
373:info:configure configure.ac:214: the top level
374:info:configure configure.ac:247: warning: The macro `AC_HEADER_STDC' is obsolete.
375:info:configure configure.ac:247: You should run autoupdate.
376:info:configure ./lib/autoconf/headers.m4:704: AC_HEADER_STDC is expanded from...
377:info:configure configure.ac:247: the top level
378:info:configure configure.ac:250: warning: The macro `AC_TRY_COMPILE' is obsolete.
379:info:configure configure.ac:250: You should run autoupdate.
380:info:configure ./lib/autoconf/general.m4:2847: AC_TRY_COMPILE is expanded from...
381:info:configure lib/m4sugar/m4sh.m4:692: _AS_IF_ELSE is expanded from...
382:info:configure lib/m4sugar/m4sh.m4:699: AS_IF is expanded from...
383:info:configure ./lib/autoconf/general.m4:2249: AC_CACHE_VAL is expanded from...
384:info:configure m4/ax_create_stdint_h.m4:166: AX_CREATE_STDINT_H is expanded from...
385:info:configure configure.ac:250: the top level
386:info:configure configure.ac:307: warning: The macro `AC_HEADER_TIME' is obsolete.
387:info:configure configure.ac:307: You should run autoupdate.
388:info:configure ./lib/autoconf/headers.m4:743: AC_HEADER_TIME is expanded from...
389:info:configure configure.ac:307: the top level
390:info:configure autoreconf: running: /opt/local/bin/autoheader
391:info:configure autoreconf: running: /opt/local/bin/automake --add-missing --copy --no-force
392:info:configure configure.ac:142: installing './ar-lib'
393:info:configure configure.ac:29: installing './compile'
394:info:configure configure.ac:143: installing './config.guess'
395:info:configure configure.ac:143: installing './config.sub'
396:info:configure configure.ac:23: installing './install-sh'
397:info:configure configure.ac:23: installing './missing'
398:info:configure Makefile.am: installing './INSTALL'
399:info:configure parallel-tests: installing './test-driver'
400:info:configure doc/Makefile.am:3: installing 'doc/mdate-sh'
401:info:configure doc/Makefile.am:3: installing 'doc/texinfo.tex'
402:info:configure lib/Makefile.am: installing './depcomp'
403:info:configure autoreconf: Leaving directory '.'
404:debug:configure Environment:
405:debug:configure CC='/usr/bin/clang'
406:debug:configure CC_PRINT_OPTIONS='YES'
407:debug:configure CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/.CC_PRINT_OPTIONS'
408:debug:configure CFLAGS='-pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64'
409:debug:configure CPATH='/opt/local/include'
410:debug:configure CPPFLAGS='-I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk'
411:debug:configure CXX='/usr/bin/clang++'
412:debug:configure CXXFLAGS='-pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64'
413:debug:configure DEVELOPER_DIR='/Library/Developer/CommandLineTools'
414:debug:configure F90FLAGS='-pipe -Os -m64'
415:debug:configure FCFLAGS='-pipe -Os -m64'
416:debug:configure FFLAGS='-pipe -Os -m64'
417:debug:configure INSTALL='/usr/bin/install -c'
418:debug:configure LDFLAGS='-L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64'
419:debug:configure LIBRARY_PATH='/opt/local/lib'
420:debug:configure MACOSX_DEPLOYMENT_TARGET='13.0'
421:debug:configure OBJC='/usr/bin/clang'
422:debug:configure OBJCFLAGS='-pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64'
423:debug:configure OBJCXX='/usr/bin/clang++'
424:debug:configure OBJCXXFLAGS='-pipe -Os -stdlib=libc++ -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64'
425:debug:configure SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk'
426:info:configure Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2" && ./configure --prefix=/opt/local
427:debug:configure system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2" && ./configure --prefix=/opt/local
428:info:configure checking for a BSD-compatible install... /usr/bin/install -c
429:info:configure checking whether build environment is sane... yes
430:info:configure checking for a race-free mkdir -p... ./install-sh -c -d
431:info:configure checking for gawk... no
432:info:configure checking for mawk... no
433:info:configure checking for nawk... no
434:info:configure checking for awk... awk
435:info:configure checking whether make sets $(MAKE)... yes
436:info:configure checking whether make supports nested variables... yes
437:info:configure checking whether make supports the include directive... yes (GNU style)
438:info:configure checking for gcc... /usr/bin/clang
439:info:configure checking whether the C compiler works... yes
440:info:configure checking for C compiler default output file name... a.out
441:info:configure checking for suffix of executables...
442:info:configure checking whether we are cross compiling... no
443:info:configure checking for suffix of object files... o
444:info:configure checking whether the compiler supports GNU C... yes
445:info:configure checking whether /usr/bin/clang accepts -g... yes
446:info:configure checking for /usr/bin/clang option to enable C11 features... none needed
447:info:configure checking whether /usr/bin/clang understands -c and -o together... yes
448:info:configure checking dependency style of /usr/bin/clang... gcc3
449:info:configure checking for stdio.h... yes
450:info:configure checking for stdlib.h... yes
451:info:configure checking for string.h... yes
452:info:configure checking for inttypes.h... yes
453:info:configure checking for stdint.h... yes
454:info:configure checking for strings.h... yes
455:info:configure checking for sys/stat.h... yes
456:info:configure checking for sys/types.h... yes
457:info:configure checking for unistd.h... yes
458:info:configure checking for wchar.h... yes
459:info:configure checking for minix/config.h... no
460:info:configure checking for sys/time.h... yes
461:info:configure checking for time.h... yes
462:info:configure checking for stdarg.h... yes
463:info:configure checking whether it is safe to define __EXTENSIONS__... yes
464:info:configure checking whether _XOPEN_SOURCE should be defined... no
465:info:configure checking whether make supports nested variables... (cached) yes
466:info:configure Subunit support will enable automatically.
467:info:configure checking for a sed that does not truncate output... /usr/bin/sed
468:info:configure checking for gawk... (cached) awk
469:info:configure checking for gcc... (cached) /usr/bin/clang
470:info:configure checking whether the compiler supports GNU C... (cached) yes
471:info:configure checking whether /usr/bin/clang accepts -g... (cached) yes
472:info:configure checking for /usr/bin/clang option to enable C11 features... (cached) none needed
473:info:configure checking whether /usr/bin/clang understands -c and -o together... (cached) yes
474:info:configure checking dependency style of /usr/bin/clang... (cached) gcc3
475:info:configure checking whether ln -s works... yes
476:info:configure checking for ar... ar
477:info:configure checking the archiver (ar) interface... ar
478:info:configure checking build system type... aarch64-apple-darwin22.1.0
479:info:configure checking host system type... aarch64-apple-darwin22.1.0
480:info:configure checking how to print strings... printf
481:info:configure checking for a sed that does not truncate output... (cached) /usr/bin/sed
482:info:configure checking for grep that handles long lines and -e... /usr/bin/grep
483:info:configure checking for egrep... /usr/bin/grep -E
484:info:configure checking for fgrep... /usr/bin/grep -F
485:info:configure checking for ld used by /usr/bin/clang... /Library/Developer/CommandLineTools/usr/bin/ld
486:info:configure checking if the linker (/Library/Developer/CommandLineTools/usr/bin/ld) is GNU ld... no
487:info:configure checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B
488:info:configure checking the name lister (/usr/bin/nm -B) interface... BSD nm
489:info:configure checking the maximum length of command line arguments... 786432
490:info:configure checking how to convert aarch64-apple-darwin22.1.0 file names to aarch64-apple-darwin22.1.0 format... func_convert_file_noop
491:info:configure checking how to convert aarch64-apple-darwin22.1.0 file names to toolchain format... func_convert_file_noop
492:info:configure checking for /Library/Developer/CommandLineTools/usr/bin/ld option to reload object files... -r
493:info:configure checking for file... file
494:info:configure checking for objdump... objdump
495:info:configure checking how to recognize dependent libraries... pass_all
496:info:configure checking for dlltool... no
497:info:configure checking how to associate runtime and link libraries... printf %s\n
498:info:configure checking for archiver @FILE support... no
499:info:configure checking for strip... strip
500:info:configure checking for ranlib... ranlib
501:info:configure checking command to parse /usr/bin/nm -B output from /usr/bin/clang object... ok
502:info:configure checking for sysroot... no
503:info:configure checking for a working dd... /bin/dd
504:info:configure checking how to truncate binary pipes... /bin/dd bs=4096 count=1
505:info:configure checking for mt... no
506:info:configure checking if : is a manifest tool... no
507:info:configure checking for dsymutil... dsymutil
508:info:configure checking for nmedit... nmedit
509:info:configure checking for lipo... lipo
510:info:configure checking for otool... otool
511:info:configure checking for otool64... no
512:info:configure checking for -single_module linker flag... yes
513:info:configure checking for -exported_symbols_list linker flag... yes
514:info:configure checking for -force_load linker flag... yes
515:info:configure checking for dlfcn.h... yes
516:info:configure checking for objdir... .libs
517:info:configure checking if /usr/bin/clang supports -fno-rtti -fno-exceptions... yes
518:info:configure checking for /usr/bin/clang option to produce PIC... -fno-common -DPIC
519:info:configure checking if /usr/bin/clang PIC flag -fno-common -DPIC works... yes
520:info:configure checking if /usr/bin/clang static flag -static works... no
521:info:configure checking if /usr/bin/clang supports -c -o file.o... yes
522:info:configure checking if /usr/bin/clang supports -c -o file.o... (cached) yes
523:info:configure checking whether the /usr/bin/clang linker (/Library/Developer/CommandLineTools/usr/bin/ld) supports shared libraries... yes
524:info:configure checking dynamic linker characteristics... darwin22.1.0 dyld
525:info:configure checking how to hardcode library paths into programs... immediate
526:info:configure checking whether stripping libraries is possible... yes
527:info:configure checking if libtool supports shared libraries... yes
528:info:configure checking whether to build shared libraries... yes
529:info:configure checking whether to build static libraries... yes
530:info:configure checking whether the C compiler accepts the -Wextra flag... yes
531:info:configure checking whether the C compiler accepts the -Wstrict-prototypes flag... yes
532:info:configure checking whether the C compiler accepts the -Wmissing-prototypes flag... yes
533:info:configure checking whether the C compiler accepts the -Wwrite-strings flag... yes
534:info:configure checking whether the C compiler accepts the -Wno-variadic-macros flag... yes
535:info:configure checking whether the C compiler accepts the -Wimport flag... yes
536:info:configure checking whether the C compiler accepts the -Wfatal-errors flag... yes
537:info:configure checking whether the C compiler accepts the -Wformat=2 flag... yes
538:info:configure checking whether the C compiler accepts the -Winit-self flag... yes
539:info:configure checking whether the C compiler accepts the -Wmissing-include-dirs flag... yes
540:info:configure checking whether the C compiler accepts the -Wswitch-default flag... yes
541:info:configure checking whether the C compiler accepts the -Wunknown-pragmas flag... yes
542:info:configure checking for gcov... gcov
543:info:configure checking for lcov... no
544:info:configure checking for genhtml... no
545:info:configure checking for makeinfo... ${SHELL} '/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/missing' makeinfo
546:info:configure checking for filterdiff... no
547:info:configure configure: WARNING: filterdiff not installed; build will not be reproducible.
548:info:configure checking for dot... no
549:info:configure checking for the pthreads library -lpthreads... no
550:info:configure checking whether pthreads work without any flags... yes
551:info:configure checking for joinable pthread attribute... PTHREAD_CREATE_JOINABLE
552:info:configure checking if more special flags are required for pthreads... -D_THREAD_SAFE
553:info:configure checking for floor in -lm... yes
554:info:configure checking for clock_gettime, timer_create, timer_settime, timer_delete in -lrt... no
555:info:configure checking for struct timespec.tv_sec... yes
556:info:configure checking for struct timespec.tv_nsec... yes
557:info:configure checking for struct itimerspec.it_interval... no
558:info:configure checking for struct itimerspec.it_value... no
559:info:configure checking for egrep... (cached) /usr/bin/grep -E
560:info:configure checking for sys/wait.h that is POSIX.1 compatible... yes
561:info:configure checking for fcntl.h... yes
562:info:configure checking for stddef.h... yes
563:info:configure checking for stdlib.h... (cached) yes
564:info:configure checking for string.h... (cached) yes
565:info:configure checking for sys/time.h... (cached) yes
566:info:configure checking for unistd.h... (cached) yes
567:info:configure checking for stdint types... stdint.h (shortcircuit)
568:info:configure make use of stdint.h in check_stdint.h (assuming C99 compatible system)
569:info:configure checking for pkg-config... /opt/local/bin/pkg-config
570:info:configure checking pkg-config is at least version 0.9.0... yes
571:info:configure checking for regex.h... yes
572:info:configure checking for windows.h... no
573:info:configure checking for InitOnceBeginInitialize... no
574:info:configure checking for InitOnceComplete... no
575:info:configure checking for regcomp... yes
576:info:configure checking for regexec... yes
577:info:configure checking for an ANSI C-conforming const... yes
578:info:configure checking for pid_t... yes
579:info:configure checking for size_t... yes
580:info:configure checking for unsigned long long int... yes
581:info:configure checking for long long int... yes
582:info:configure checking for intmax_t... yes
583:info:configure checking for uintmax_t... yes
584:info:configure checking for uint32_t... yes
585:info:configure checking whether struct tm is in sys/time.h or time.h... time.h
586:info:configure checking size of int... 4
587:info:configure checking size of short... 2
588:info:configure checking size of long... 8
589:info:configure checking for clockid_t... yes
590:info:configure checking for timer_t... no
591:info:configure checking for GNU libc compatible malloc... yes
592:info:configure checking for GNU libc compatible realloc... yes
593:info:configure checking for /usr/bin/clang options needed to detect all undeclared functions... none needed
594:info:configure checking for timer_create... no
595:info:configure checking for alarm... yes
596:info:configure checking for clock_gettime... yes
597:info:configure checking for getline... yes
598:info:configure checking for gettimeofday... yes
599:info:configure checking for localtime_r... yes
600:info:configure checking for strdup... yes
601:info:configure checking for strsignal... yes
602:info:configure checking whether alarm is declared... yes
603:info:configure checking whether clock_gettime is declared... no
604:info:configure checking whether getline is declared... yes
605:info:configure checking whether gettimeofday is declared... no
606:info:configure checking whether localtime_r is declared... no
607:info:configure checking whether strdup is declared... yes
608:info:configure checking whether strsignal is declared... yes
609:info:configure checking whether setenv is declared... yes
610:info:configure checking for setitimer... yes
611:info:configure checking for fork... yes
612:info:configure checking for sigaction... yes
613:info:configure checking for mkstemp... yes
614:info:configure checking for vsnprintf... yes
615:info:configure checking whether vsnprintf is C99 compliant... yes
616:info:configure checking for snprintf... yes
617:info:configure checking whether snprintf is C99 compliant... yes
618:info:configure checking for awk... /usr/bin/awk
619:info:configure checking that generated files are newer than configure... done
620:info:configure configure: creating ./config.status
621:info:configure config.status: creating checkmk/checkmk
622:info:configure config.status: creating check.pc
623:info:configure config.status: creating Makefile
624:info:configure config.status: creating checkmk/Makefile
625:info:configure config.status: creating doc/Makefile
626:info:configure config.status: creating lib/Makefile
627:info:configure config.status: creating src/check.h
628:info:configure config.status: creating src/Makefile
629:info:configure config.status: creating tests/Makefile
630:info:configure config.status: creating tests/test_vars
631:info:configure config.status: creating config.h
632:info:configure config.status: executing depfiles commands
633:info:configure config.status: executing libtool commands
634:info:configure config.status: executing check_stdint.h commands
635:info:configure config.status: creating check_stdint.h : _CHECK_CHECK_STDINT_H
636:info:configure config.status: executing checkmk-x commands
637:info:configure ==========================================
638:info:configure Summary of Check 0.15.2 options:
639:info:configure fork mode ............................ yes
640:info:configure high resolution timer replacement .... yes
641:info:configure snprintf replacement ................. no
642:info:configure subunit support....................... no
643:info:configure timeout unit tests ................... yes
644:info:configure POSIX regular expressions ............ yes
645:info:configure build docs ........................... yes
646:info:configure ==========================================
647:debug:configure Executing portconfigure::configure_finish
648:warn:configure Configuration logfiles contain indications of -Wimplicit-function-declaration; check that features were not accidentally disabled:
649:msg:configure   strchr: found in libcheck-check-11970a7/config.log
650:debug:configure Privilege de-escalation not attempted as not running as root.
651:debug:build build phase started at Sun Dec 11 16:42:08 +03 2022
652:notice:build --->  Building check
653:debug:build Executing org.macports.build (check)
654:debug:build Environment:
655:debug:build CC_PRINT_OPTIONS='YES'
656:debug:build CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/.CC_PRINT_OPTIONS'
657:debug:build CPATH='/opt/local/include'
658:debug:build DEVELOPER_DIR='/Library/Developer/CommandLineTools'
659:debug:build LIBRARY_PATH='/opt/local/lib'
660:debug:build MACOSX_DEPLOYMENT_TARGET='13.0'
661:debug:build SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk'
662:info:build Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2" && /usr/bin/make -j8 -w all
663:debug:build system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2" && /usr/bin/make -j8 -w all
664:info:build make: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7'
665:info:build /Library/Developer/CommandLineTools/usr/bin/make  all-recursive
666:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7'
667:info:build Making all in lib
668:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7/lib'
669:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT libcompat.lo -MD -MP -MF .deps/libcompat.Tpo -c -o libcompat.lo libcompat.c
670:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT fpclassify.lo -MD -MP -MF .deps/fpclassify.Tpo -c -o fpclassify.lo fpclassify.c
671:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT timer_create.lo -MD -MP -MF .deps/timer_create.Tpo -c -o timer_create.lo timer_create.c
672:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT timer_settime.lo -MD -MP -MF .deps/timer_settime.Tpo -c -o timer_settime.lo timer_settime.c
673:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT timer_delete.lo -MD -MP -MF .deps/timer_delete.Tpo -c -o timer_delete.lo timer_delete.c
674:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT timer_create.lo -MD -MP -MF .deps/timer_create.Tpo -c timer_create.c  -fno-common -DPIC -o .libs/timer_create.o
675:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT timer_delete.lo -MD -MP -MF .deps/timer_delete.Tpo -c timer_delete.c  -fno-common -DPIC -o .libs/timer_delete.o
676:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT timer_settime.lo -MD -MP -MF .deps/timer_settime.Tpo -c timer_settime.c  -fno-common -DPIC -o .libs/timer_settime.o
677:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT fpclassify.lo -MD -MP -MF .deps/fpclassify.Tpo -c fpclassify.c  -fno-common -DPIC -o .libs/fpclassify.o
678:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT libcompat.lo -MD -MP -MF .deps/libcompat.Tpo -c libcompat.c  -fno-common -DPIC -o .libs/libcompat.o
679:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT fpclassify.lo -MD -MP -MF .deps/fpclassify.Tpo -c fpclassify.c -o fpclassify.o >/dev/null 2>&1
680:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT timer_create.lo -MD -MP -MF .deps/timer_create.Tpo -c timer_create.c -o timer_create.o >/dev/null 2>&1
681:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT timer_delete.lo -MD -MP -MF .deps/timer_delete.Tpo -c timer_delete.c -o timer_delete.o >/dev/null 2>&1
682:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT timer_settime.lo -MD -MP -MF .deps/timer_settime.Tpo -c timer_settime.c -o timer_settime.o >/dev/null 2>&1
683:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT libcompat.lo -MD -MP -MF .deps/libcompat.Tpo -c libcompat.c -o libcompat.o >/dev/null 2>&1
684:info:build mv -f .deps/timer_create.Tpo .deps/timer_create.Plo
685:info:build mv -f .deps/fpclassify.Tpo .deps/fpclassify.Plo
686:info:build mv -f .deps/libcompat.Tpo .deps/libcompat.Plo
687:info:build mv -f .deps/timer_delete.Tpo .deps/timer_delete.Plo
688:info:build mv -f .deps/timer_settime.Tpo .deps/timer_settime.Plo
689:info:build /bin/sh ../libtool  --tag=CC   --mode=link /usr/bin/clang  -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -no-undefined -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -o libcompat.la  libcompat.lo fpclassify.lo timer_create.lo timer_settime.lo timer_delete.lo  -lm
690:info:build libtool: link: ar cr .libs/libcompat.a .libs/libcompat.o .libs/fpclassify.o .libs/timer_create.o .libs/timer_settime.o .libs/timer_delete.o
691:info:build libtool: link: ranlib .libs/libcompat.a
692:info:build libtool: link: ( cd ".libs" && rm -f "libcompat.la" && ln -s "../libcompat.la" "libcompat.la" )
693:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7/lib'
694:info:build Making all in src
695:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7/src'
696:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE   -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check.lo -MD -MP -MF .deps/check.Tpo -c -o check.lo check.c
697:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE   -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_error.lo -MD -MP -MF .deps/check_error.Tpo -c -o check_error.lo check_error.c
698:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE   -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_list.lo -MD -MP -MF .deps/check_list.Tpo -c -o check_list.lo check_list.c
699:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE   -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_log.lo -MD -MP -MF .deps/check_log.Tpo -c -o check_log.lo check_log.c
700:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE   -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_msg.lo -MD -MP -MF .deps/check_msg.Tpo -c -o check_msg.lo check_msg.c
701:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE   -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_pack.lo -MD -MP -MF .deps/check_pack.Tpo -c -o check_pack.lo check_pack.c
702:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE   -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_print.lo -MD -MP -MF .deps/check_print.Tpo -c -o check_print.lo check_print.c
703:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE   -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_run.lo -MD -MP -MF .deps/check_run.Tpo -c -o check_run.lo check_run.c
704:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_run.lo -MD -MP -MF .deps/check_run.Tpo -c check_run.c  -fno-common -DPIC -o .libs/check_run.o
705:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check.lo -MD -MP -MF .deps/check.Tpo -c check.c  -fno-common -DPIC -o .libs/check.o
706:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_log.lo -MD -MP -MF .deps/check_log.Tpo -c check_log.c  -fno-common -DPIC -o .libs/check_log.o
707:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_msg.lo -MD -MP -MF .deps/check_msg.Tpo -c check_msg.c  -fno-common -DPIC -o .libs/check_msg.o
708:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_pack.lo -MD -MP -MF .deps/check_pack.Tpo -c check_pack.c  -fno-common -DPIC -o .libs/check_pack.o
709:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_error.lo -MD -MP -MF .deps/check_error.Tpo -c check_error.c  -fno-common -DPIC -o .libs/check_error.o
710:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_list.lo -MD -MP -MF .deps/check_list.Tpo -c check_list.c  -fno-common -DPIC -o .libs/check_list.o
711:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_print.lo -MD -MP -MF .deps/check_print.Tpo -c check_print.c  -fno-common -DPIC -o .libs/check_print.o
712:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_error.lo -MD -MP -MF .deps/check_error.Tpo -c check_error.c -o check_error.o >/dev/null 2>&1
713:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_print.lo -MD -MP -MF .deps/check_print.Tpo -c check_print.c -o check_print.o >/dev/null 2>&1
714:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_list.lo -MD -MP -MF .deps/check_list.Tpo -c check_list.c -o check_list.o >/dev/null 2>&1
715:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check.lo -MD -MP -MF .deps/check.Tpo -c check.c -o check.o >/dev/null 2>&1
716:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_log.lo -MD -MP -MF .deps/check_log.Tpo -c check_log.c -o check_log.o >/dev/null 2>&1
717:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_msg.lo -MD -MP -MF .deps/check_msg.Tpo -c check_msg.c -o check_msg.o >/dev/null 2>&1
718:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_pack.lo -MD -MP -MF .deps/check_pack.Tpo -c check_pack.c -o check_pack.o >/dev/null 2>&1
719:info:build mv -f .deps/check_error.Tpo .deps/check_error.Plo
720:info:build /bin/sh ../libtool  --tag=CC   --mode=compile /usr/bin/clang -DHAVE_CONFIG_H -I. -I..   -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE   -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_str.lo -MD -MP -MF .deps/check_str.Tpo -c -o check_str.lo check_str.c
721:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_run.lo -MD -MP -MF .deps/check_run.Tpo -c check_run.c -o check_run.o >/dev/null 2>&1
722:info:build mv -f .deps/check_list.Tpo .deps/check_list.Plo
723:info:build /usr/bin/sed -n -e 's/^..*CK_EXPORT[[:space:]][[:space:]]*\([[:alnum:]_][[:alnum:]_]*\)..*$/\1/p' ../src/check.h.in > exported.sym
724:info:build mv -f .deps/check_print.Tpo .deps/check_print.Plo
725:info:build mv -f .deps/check_log.Tpo .deps/check_log.Plo
726:info:build mv -f .deps/check_msg.Tpo .deps/check_msg.Plo
727:info:build mv -f .deps/check.Tpo .deps/check.Plo
728:info:build mv -f .deps/check_pack.Tpo .deps/check_pack.Plo
729:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_str.lo -MD -MP -MF .deps/check_str.Tpo -c check_str.c  -fno-common -DPIC -o .libs/check_str.o
730:info:build libtool: compile:  /usr/bin/clang -DHAVE_CONFIG_H -I. -I.. -I/opt/local/include -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -D_THREAD_SAFE -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -MT check_str.lo -MD -MP -MF .deps/check_str.Tpo -c check_str.c -o check_str.o >/dev/null 2>&1
731:info:build mv -f .deps/check_run.Tpo .deps/check_run.Plo
732:info:build mv -f .deps/check_str.Tpo .deps/check_str.Plo
733:info:build /bin/sh ../libtool  --tag=CC   --mode=link /usr/bin/clang -D_THREAD_SAFE   -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -no-undefined -export-symbols exported.sym -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -o libcheck.la -rpath /opt/local/lib check.lo check_error.lo check_list.lo check_log.lo check_msg.lo check_pack.lo check_print.lo check_run.lo check_str.lo   ../lib/libcompat.la -lm
734:info:build /bin/sh ../libtool  --tag=CC   --mode=link /usr/bin/clang -D_THREAD_SAFE   -pipe -Os -isysroot/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -Wextra -Wstrict-prototypes -Wmissing-prototypes -Wwrite-strings -Wno-variadic-macros -Wimport -Wfatal-errors -Wformat=2 -Winit-self -Wmissing-include-dirs -Wswitch-default -Wunknown-pragmas -no-undefined -L/opt/local/lib -Wl,-headerpad_max_install_names -Wl,-syslibroot,/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64 -o libcheckinternal.la  check.lo check_error.lo check_list.lo check_log.lo check_msg.lo check_pack.lo check_print.lo check_run.lo check_str.lo   ../lib/libcompat.la -lm
735:info:build libtool: link: /usr/bin/sed 's|^|_|' < exported.sym > .libs/libcheck-symbols.expsym
736:info:build libtool: link: /usr/bin/clang -dynamiclib  -o .libs/libcheck.0.dylib  .libs/check.o .libs/check_error.o .libs/check_list.o .libs/check_log.o .libs/check_msg.o .libs/check_pack.o .libs/check_print.o .libs/check_run.o .libs/check_str.o   -Wl,-force_load,../lib/.libs/libcompat.a  -L/opt/local/lib -lm  -Os -arch arm64 -Wl,-headerpad_max_install_names -Wl,-syslibroot -Wl,/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk -arch arm64   -install_name  /opt/local/lib/libcheck.0.dylib -compatibility_version 1 -current_version 1.0 -Wl,-single_module -Wl,-exported_symbols_list,.libs/libcheck-symbols.expsym
737:info:build libtool: link: (cd .libs/libcheckinternal.lax/libcompat.a && ar x "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/src/../lib/.libs/libcompat.a")
738:info:build libtool: link: ar cr .libs/libcheckinternal.a .libs/check.o .libs/check_error.o .libs/check_list.o .libs/check_log.o .libs/check_msg.o .libs/check_pack.o .libs/check_print.o .libs/check_run.o .libs/check_str.o   .libs/libcheckinternal.lax/libcompat.a/fpclassify.o .libs/libcheckinternal.lax/libcompat.a/libcompat.o .libs/libcheckinternal.lax/libcompat.a/timer_create.o .libs/libcheckinternal.lax/libcompat.a/timer_delete.o .libs/libcheckinternal.lax/libcompat.a/timer_settime.o
739:info:build libtool: link: (cd ".libs" && rm -f "libcheck.dylib" && ln -s "libcheck.0.dylib" "libcheck.dylib")
740:info:build libtool: link: ranlib .libs/libcheckinternal.a
741:info:build libtool: link: rm -fr .libs/libcheckinternal.lax
742:info:build libtool: link: ( cd ".libs" && rm -f "libcheckinternal.la" && ln -s "../libcheckinternal.la" "libcheckinternal.la" )
743:info:build libtool: link: (cd .libs/libcheck.lax/libcompat.a && ar x "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/src/../lib/.libs/libcompat.a")
744:info:build libtool: link: ar cr .libs/libcheck.a  check.o check_error.o check_list.o check_log.o check_msg.o check_pack.o check_print.o check_run.o check_str.o  .libs/libcheck.lax/libcompat.a/fpclassify.o .libs/libcheck.lax/libcompat.a/libcompat.o .libs/libcheck.lax/libcompat.a/timer_create.o .libs/libcheck.lax/libcompat.a/timer_delete.o .libs/libcheck.lax/libcompat.a/timer_settime.o
745:info:build libtool: link: ranlib .libs/libcheck.a
746:info:build libtool: link: rm -fr .libs/libcheck.lax
747:info:build libtool: link: ( cd ".libs" && rm -f "libcheck.la" && ln -s "../libcheck.la" "libcheck.la" )
748:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7/src'
749:info:build Making all in doc
750:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7/doc'
751:info:build cd ../doc/example; \
752:info:build     diff -U 100 src/money.1.h src/money.2.h  > /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc/money.1-2.h.diff || test $? -eq 1; \
753:info:build     cd -;
754:info:build cd ../doc/example; \
755:info:build     diff -U 100 src/money.1.c src/money.3.c  > /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc/money.1-3.c.diff || test $? -eq 1; \
756:info:build     cd -;
757:info:build cd ../doc/example; \
758:info:build     diff -U 100 src/money.3.c src/money.4.c  > /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc/money.3-4.c.diff || test $? -eq 1; \
759:info:build     cd -;
760:info:build cd ../doc/example; \
761:info:build     diff -U 100 src/money.4.c src/money.5.c  > /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc/money.4-5.c.diff || test $? -eq 1; \
762:info:build     cd -;
763:info:build cd ../doc/example; \
764:info:build     diff -U 100 src/money.5.c src/money.6.c  > /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc/money.5-6.c.diff || test $? -eq 1; \
765:info:build     cd -;
766:info:build cd ../doc/example; \
767:info:build     diff -U 100 tests/check_money.1.c tests/check_money.2.c  > /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc/check_money.1-2.c.diff || test $? -eq 1; \
768:info:build     cd -;
769:info:build cd ../doc/example; \
770:info:build     diff -U 100 tests/check_money.2.c tests/check_money.3.c  > /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc/check_money.2-3.c.diff || test $? -eq 1; \
771:info:build     cd -;
772:info:build cd ../doc/example; \
773:info:build     diff -U 100 tests/check_money.3.c tests/check_money.6.c  > /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc/check_money.3-6.c.diff || test $? -eq 1; \
774:info:build     cd -;
775:info:build /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc
776:info:build /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc
777:info:build cd ../doc/example; \
778:info:build     diff -U 100 tests/check_money.6.c tests/check_money.7.c  > /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc/check_money.6-7.c.diff || test $? -eq 1; \
779:info:build     cd -;
780:info:build /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc
781:info:build /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc
782:info:build /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc
783:info:build /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc
784:info:build /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc
785:info:build /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc
786:info:build /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/doc
787:info:build Updating ./version.texi
788:info:build restore=: && backupdir=".am$$" && \
789:info:build     am__cwd=`pwd` && CDPATH="${ZSH_VERSION+.}:" && cd . && \
790:info:build     rm -rf $backupdir && mkdir $backupdir && \
791:info:build     if (/bin/sh '/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/missing' makeinfo --version) >/dev/null 2>&1; then \
792:info:build       for f in check.info check.info-[0-9] check.info-[0-9][0-9] check.i[0-9] check.i[0-9][0-9]; do \
793:info:build         if test -f $f; then mv $f $backupdir; restore=mv; else :; fi; \
794:info:build       done; \
795:info:build     else :; fi && \
796:info:build     cd "$am__cwd"; \
797:info:build     if /bin/sh '/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/missing' makeinfo   -I . \
798:info:build      -o check.info check.texi; \
799:info:build     then \
800:info:build       rc=0; \
801:info:build       CDPATH="${ZSH_VERSION+.}:" && cd .; \
802:info:build     else \
803:info:build       rc=$?; \
804:info:build       CDPATH="${ZSH_VERSION+.}:" && cd . && \
805:info:build       $restore $backupdir/* `echo "./check.info" | sed 's|[^/]*$||'`; \
806:info:build     fi; \
807:info:build     rm -rf $backupdir; exit $rc
808:info:build /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/missing: line 81: makeinfo: command not found
809:info:build WARNING: 'makeinfo' is missing on your system.
810:info:build          You should only need it if you modified a '.texi' file, or
811:info:build          any other file indirectly affecting the aspect of the manual.
812:info:build          You might want to install the Texinfo package:
813:info:build          <https://www.gnu.org/software/texinfo/>
814:info:build          The spurious makeinfo call might also be the consequence of
815:info:build          using a buggy 'make' (AIX, DU, IRIX), in which case you might
816:info:build          want to install GNU make:
817:info:build          <https://www.gnu.org/software/make/>
818:info:build make[2]: *** [check.info] Error 127
819:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7/doc'
820:info:build make[1]: *** [all-recursive] Error 1
821:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7'
822:info:build make: *** [all] Error 2
823:info:build make: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7'
824:info:build Command failed:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2" && /usr/bin/make -j8 -w all
825:info:build Exit code: 2
826:error:build Failed to build check: command execution failed
827:debug:build Error code: CHILDSTATUS 22134 2
828:debug:build Backtrace: command execution failed
829:debug:build     while executing
830:debug:build "system {*}$notty {*}$callback {*}$nice $fullcmdstring"
831:debug:build     invoked from within
832:debug:build "command_exec -callback portprogress::target_progress_callback build"
833:debug:build     (procedure "portbuild::build_main" line 8)
834:debug:build     invoked from within
835:debug:build "$procedure $targetname"
836:error:build See /opt/local/var/macports/logs/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/main.log for details.
837version:1
838:debug:main Starting logging for check @0.15.2_0
839:debug:sysinfo macOS 13.0.1 (darwin/22.1.0) arch arm
840:debug:sysinfo MacPorts 2.8.0
841:debug:sysinfo Xcode 14.1, CLT 14.1.0.0.1.1666437224
842:debug:sysinfo SDK 13
843:debug:sysinfo MACOSX_DEPLOYMENT_TARGET: 13.0
844:debug:main Fetching check-0.15.2_0.darwin_22.arm64.tbz2 archive size
845:debug:main epoch: in tree: 0 installed: 0
846:debug:main pkgconfig 0.29.2_0 exists in the ports tree
847:debug:main pkgconfig 0.29.2_0  is the latest installed
848:debug:main pkgconfig 0.29.2_0  is active
849:debug:main Merging existing requested variants '' into variants
850:debug:main new fully merged portvariants:
851:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/pkgconfig
852:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
853:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
854:debug:main Sourcing PortGroup conflicts_build 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/conflicts_build-1.0.tcl
855:debug:main adding the default universal variant
856:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
857:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
858:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
859:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
860:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
861:debug:main Running callback portstartupitem::add_notes
862:debug:main Finished running callback portstartupitem::add_notes
863:debug:main No need to upgrade! pkgconfig 0.29.2_0 >= pkgconfig 0.29.2_0
864:debug:main epoch: in tree: 0 installed: 0
865:debug:main libiconv 1.17_0 exists in the ports tree
866:debug:main libiconv 1.17_0  is the latest installed
867:debug:main libiconv 1.17_0  is active
868:debug:main Merging existing requested variants '' into variants
869:debug:main new fully merged portvariants:
870:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/textproc/libiconv
871:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
872:debug:main Re-registering default for configure.universal_args
873:debug:main Re-registering default for configure.cc_archflags
874:debug:main Re-registering default for configure.objc_archflags
875:debug:main Re-registering default for configure.cxx_archflags
876:debug:main Re-registering default for configure.objcxx_archflags
877:debug:main Re-registering default for configure.fc_archflags
878:debug:main Re-registering default for configure.f90_archflags
879:debug:main Re-registering default for configure.f77_archflags
880:debug:main Re-registering default for configure.ld_archflags
881:debug:main Re-registering default for configure.universal_cflags
882:debug:main Re-registering default for configure.universal_objcflags
883:debug:main Re-registering default for configure.universal_cxxflags
884:debug:main Re-registering default for configure.universal_objcxxflags
885:debug:main Re-registering default for configure.universal_cppflags
886:debug:main Re-registering default for configure.universal_ldflags
887:debug:main Sourcing PortGroup muniversal 1.1 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.1.tcl
888:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
889:debug:main muniversal: adding universal variant
890:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
891:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
892:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
893:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
894:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
895:debug:main Running callback portstartupitem::add_notes
896:debug:main Finished running callback portstartupitem::add_notes
897:debug:main Running callback muniversal::add_compiler_flags
898:debug:main Finished running callback muniversal::add_compiler_flags
899:debug:main No need to upgrade! libiconv 1.17_0 >= libiconv 1.17_0
900:debug:main epoch: in tree: 1 installed: 1
901:debug:main autoconf 2.71_1 exists in the ports tree
902:debug:main autoconf 2.71_1  is the latest installed
903:debug:main autoconf 2.71_1  is active
904:debug:main Merging existing requested variants '' into variants
905:debug:main new fully merged portvariants:
906:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/autoconf
907:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
908:debug:main only one arch supported, so not adding the default universal variant
909:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
910:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
911:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
912:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
913:debug:main Running callback portstartupitem::add_notes
914:debug:main Finished running callback portstartupitem::add_notes
915:debug:main No need to upgrade! autoconf 2.71_1 >= autoconf 2.71_1
916:debug:main epoch: in tree: 2 installed: 2
917:debug:main gettext 0.21_0 exists in the ports tree
918:debug:main gettext 0.21_0  is the latest installed
919:debug:main gettext 0.21_0  is active
920:debug:main Merging existing requested variants '' into variants
921:debug:main new fully merged portvariants:
922:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
923:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
924:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
925:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
926:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
927:debug:main compiler clang 1400.0.29.202 not blacklisted because it doesn't match {clang < 211.10.1}
928:debug:main muniversal: adding universal variant
929:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
930:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
931:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
932:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
933:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
934:debug:main Running callback portstartupitem::add_notes
935:debug:main Finished running callback portstartupitem::add_notes
936:debug:main No need to upgrade! gettext 0.21_0 >= gettext 0.21_0
937:debug:main epoch: in tree: 0 installed: 0
938:debug:main libtextstyle 0.21_0 exists in the ports tree
939:debug:main libtextstyle 0.21_0  is the latest installed
940:debug:main libtextstyle 0.21_0  is active
941:debug:main Merging existing requested variants '' into variants
942:debug:main new fully merged portvariants:
943:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
944:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
945:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
946:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
947:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
948:debug:main compiler clang 1400.0.29.202 not blacklisted because it doesn't match {clang < 211.10.1}
949:debug:main muniversal: adding universal variant
950:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
951:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
952:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
953:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
954:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
955:debug:main Running callback portstartupitem::add_notes
956:debug:main Finished running callback portstartupitem::add_notes
957:debug:main No need to upgrade! libtextstyle 0.21_0 >= libtextstyle 0.21_0
958:debug:main epoch: in tree: 0 installed: 0
959:debug:main ncurses 6.3_0 exists in the ports tree
960:debug:main ncurses 6.3_0  is the latest installed
961:debug:main ncurses 6.3_0  is active
962:debug:main Merging existing requested variants '' into variants
963:debug:main new fully merged portvariants:
964:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/ncurses
965:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
966:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
967:debug:main adding the default universal variant
968:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
969:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
970:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
971:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
972:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
973:debug:main Running callback portstartupitem::add_notes
974:debug:main Finished running callback portstartupitem::add_notes
975:debug:main No need to upgrade! ncurses 6.3_0 >= ncurses 6.3_0
976:debug:main epoch: in tree: 0 installed: 0
977:debug:main gettext-runtime 0.21_0 exists in the ports tree
978:debug:main gettext-runtime 0.21_0  is the latest installed
979:debug:main gettext-runtime 0.21_0  is active
980:debug:main Merging existing requested variants '' into variants
981:debug:main new fully merged portvariants:
982:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
983:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
984:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
985:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
986:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
987:debug:main compiler clang 1400.0.29.202 not blacklisted because it doesn't match {clang < 211.10.1}
988:debug:main muniversal: adding universal variant
989:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
990:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
991:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
992:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
993:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
994:debug:main Running callback portstartupitem::add_notes
995:debug:main Finished running callback portstartupitem::add_notes
996:debug:main No need to upgrade! gettext-runtime 0.21_0 >= gettext-runtime 0.21_0
997:debug:main epoch: in tree: 0 installed: 0
998:debug:main gettext-tools-libs 0.21_0 exists in the ports tree
999:debug:main gettext-tools-libs 0.21_0  is the latest installed
1000:debug:main gettext-tools-libs 0.21_0  is active
1001:debug:main Merging existing requested variants '' into variants
1002:debug:main new fully merged portvariants:
1003:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/gettext
1004:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
1005:debug:main Sourcing PortGroup compiler_blacklist_versions 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/compiler_blacklist_versions-1.0.tcl
1006:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
1007:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
1008:debug:main compiler clang 1400.0.29.202 not blacklisted because it doesn't match {clang < 211.10.1}
1009:debug:main muniversal: adding universal variant
1010:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
1011:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
1012:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
1013:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
1014:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
1015:debug:main Running callback portstartupitem::add_notes
1016:debug:main Finished running callback portstartupitem::add_notes
1017:debug:main No need to upgrade! gettext-tools-libs 0.21_0 >= gettext-tools-libs 0.21_0
1018:debug:main epoch: in tree: 0 installed: 0
1019:debug:main m4 1.4.19_1 exists in the ports tree
1020:debug:main m4 1.4.19_1  is the latest installed
1021:debug:main m4 1.4.19_1  is active
1022:debug:main Merging existing requested variants '' into variants
1023:debug:main new fully merged portvariants:
1024:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/m4
1025:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
1026:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
1027:debug:main Sourcing PortGroup muniversal 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/muniversal-1.0.tcl
1028:debug:main muniversal: adding universal variant
1029:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
1030:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
1031:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
1032:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
1033:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
1034:debug:main Running callback portstartupitem::add_notes
1035:debug:main Finished running callback portstartupitem::add_notes
1036:debug:main No need to upgrade! m4 1.4.19_1 >= m4 1.4.19_1
1037:debug:main epoch: in tree: 0 installed: 0
1038:debug:main automake 1.16.5_0 exists in the ports tree
1039:debug:main automake 1.16.5_0  is the latest installed
1040:debug:main automake 1.16.5_0  is active
1041:debug:main Merging existing requested variants '' into variants
1042:debug:main new fully merged portvariants:
1043:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/automake
1044:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
1045:debug:main only one arch supported, so not adding the default universal variant
1046:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
1047:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
1048:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
1049:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
1050:debug:main Running callback portstartupitem::add_notes
1051:debug:main Finished running callback portstartupitem::add_notes
1052:debug:main No need to upgrade! automake 1.16.5_0 >= automake 1.16.5_0
1053:debug:main epoch: in tree: 0 installed: 0
1054:debug:main libtool 2.4.7_0 exists in the ports tree
1055:debug:main libtool 2.4.7_0  is the latest installed
1056:debug:main libtool 2.4.7_0  is active
1057:debug:main Merging existing requested variants '' into variants
1058:debug:main new fully merged portvariants:
1059:debug:main Changing to port directory: /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/devel/libtool
1060:debug:main OS darwin/22.1.0 (macOS 13.0.1) arch arm
1061:debug:main Sourcing PortGroup clang_dependency 1.0 from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/group/clang_dependency-1.0.tcl
1062:debug:main adding the default universal variant
1063:debug:main Reading variant descriptions from /opt/local/var/macports/sources/rsync.macports.org/macports/release/tarballs/ports/_resources/port1.0/variant_descriptions.conf
1064:debug:main Running callback portconfigure::add_automatic_compiler_dependencies
1065:debug:main Finished running callback portconfigure::add_automatic_compiler_dependencies
1066:debug:main Running callback portbuild::add_automatic_buildsystem_dependencies
1067:debug:main Finished running callback portbuild::add_automatic_buildsystem_dependencies
1068:debug:main Running callback portstartupitem::add_notes
1069:debug:main Finished running callback portstartupitem::add_notes
1070:debug:main No need to upgrade! libtool 2.4.7_0 >= libtool 2.4.7_0
1071:msg:main --->  Computing dependencies for check:info:main .:debug:main check has no conflicts
1072:debug:main Searching for dependency: pkgconfig
1073:debug:main Found Dependency: receipt exists for pkgconfig
1074:debug:main Searching for dependency: autoconf
1075:debug:main Found Dependency: receipt exists for autoconf
1076:debug:main Searching for dependency: automake
1077:debug:main Found Dependency: receipt exists for automake
1078:debug:main Searching for dependency: libtool
1079:debug:main Found Dependency: receipt exists for libtool
1080:debug:main Executing org.macports.main (check)
1081:debug:main dropping privileges: euid changed to 502, egid changed to 501.
1082:debug:archivefetch archivefetch phase started at Sun Dec 11 16:50:18 +03 2022
1083:msg:archivefetch --->  Fetching archive for check
1084:debug:archivefetch Executing org.macports.archivefetch (check)
1085:debug:archivefetch euid/egid changed to: 0/0
1086:debug:archivefetch chowned /opt/local/var/macports/incoming to macports
1087:debug:archivefetch euid/egid changed to: 502/501
1088:info:archivefetch --->  check-0.15.2_0.darwin_22.arm64.tbz2 doesn't seem to exist in /opt/local/var/macports/incoming/verified
1089:msg:archivefetch --->  Attempting to fetch check-0.15.2_0.darwin_22.arm64.tbz2 from https://packages.macports.org/check
1090:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
1091:msg:archivefetch --->  Attempting to fetch check-0.15.2_0.darwin_22.arm64.tbz2 from https://cph.dk.packages.macports.org/check
1092:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
1093:msg:archivefetch --->  Attempting to fetch check-0.15.2_0.darwin_22.arm64.tbz2 from https://nue.de.packages.macports.org/check
1094:debug:archivefetch Fetching archive failed: The requested URL returned error: 404
1095:debug:archivefetch Privilege de-escalation not attempted as not running as root.
1096:debug:archivefetch Skipping completed org.macports.fetch (check)
1097:debug:archivefetch Privilege de-escalation not attempted as not running as root.
1098:debug:archivefetch Skipping completed org.macports.checksum (check)
1099:debug:archivefetch Privilege de-escalation not attempted as not running as root.
1100:debug:archivefetch Skipping completed org.macports.extract (check)
1101:debug:archivefetch Privilege de-escalation not attempted as not running as root.
1102:debug:archivefetch Skipping completed org.macports.patch (check)
1103:debug:archivefetch Privilege de-escalation not attempted as not running as root.
1104:debug:archivefetch Skipping completed org.macports.configure (check)
1105:debug:archivefetch Privilege de-escalation not attempted as not running as root.
1106:debug:build build phase started at Sun Dec 11 16:50:19 +03 2022
1107:notice:build --->  Building check
1108:debug:build Executing org.macports.build (check)
1109:debug:build Environment:
1110:debug:build CC_PRINT_OPTIONS='YES'
1111:debug:build CC_PRINT_OPTIONS_FILE='/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/.CC_PRINT_OPTIONS'
1112:debug:build CPATH='/opt/local/include'
1113:debug:build DEVELOPER_DIR='/Library/Developer/CommandLineTools'
1114:debug:build LIBRARY_PATH='/opt/local/lib'
1115:debug:build MACOSX_DEPLOYMENT_TARGET='13.0'
1116:debug:build SDKROOT='/Library/Developer/CommandLineTools/SDKs/MacOSX13.sdk'
1117:info:build Executing:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2" && /usr/bin/make -j8 -w all
1118:debug:build system:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2" && /usr/bin/make -j8 -w all
1119:info:build make: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7'
1120:info:build /Library/Developer/CommandLineTools/usr/bin/make  all-recursive
1121:info:build make[1]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7'
1122:info:build Making all in lib
1123:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7/lib'
1124:info:build make[2]: Nothing to be done for `all'.
1125:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7/lib'
1126:info:build Making all in src
1127:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7/src'
1128:info:build make[2]: Nothing to be done for `all'.
1129:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7/src'
1130:info:build Making all in doc
1131:info:build make[2]: Entering directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7/doc'
1132:info:build restore=: && backupdir=".am$$" && \
1133:info:build     am__cwd=`pwd` && CDPATH="${ZSH_VERSION+.}:" && cd . && \
1134:info:build     rm -rf $backupdir && mkdir $backupdir && \
1135:info:build     if (/bin/sh '/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/missing' makeinfo --version) >/dev/null 2>&1; then \
1136:info:build       for f in check.info check.info-[0-9] check.info-[0-9][0-9] check.i[0-9] check.i[0-9][0-9]; do \
1137:info:build         if test -f $f; then mv $f $backupdir; restore=mv; else :; fi; \
1138:info:build       done; \
1139:info:build     else :; fi && \
1140:info:build     cd "$am__cwd"; \
1141:info:build     if /bin/sh '/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/missing' makeinfo   -I . \
1142:info:build      -o check.info check.texi; \
1143:info:build     then \
1144:info:build       rc=0; \
1145:info:build       CDPATH="${ZSH_VERSION+.}:" && cd .; \
1146:info:build     else \
1147:info:build       rc=$?; \
1148:info:build       CDPATH="${ZSH_VERSION+.}:" && cd . && \
1149:info:build       $restore $backupdir/* `echo "./check.info" | sed 's|[^/]*$||'`; \
1150:info:build     fi; \
1151:info:build     rm -rf $backupdir; exit $rc
1152:info:build /opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2/missing: line 81: makeinfo: command not found
1153:info:build WARNING: 'makeinfo' is missing on your system.
1154:info:build          You should only need it if you modified a '.texi' file, or
1155:info:build          any other file indirectly affecting the aspect of the manual.
1156:info:build          You might want to install the Texinfo package:
1157:info:build          <https://www.gnu.org/software/texinfo/>
1158:info:build          The spurious makeinfo call might also be the consequence of
1159:info:build          using a buggy 'make' (AIX, DU, IRIX), in which case you might
1160:info:build          want to install GNU make:
1161:info:build          <https://www.gnu.org/software/make/>
1162:info:build make[2]: *** [check.info] Error 127
1163:info:build make[2]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7/doc'
1164:info:build make[1]: *** [all-recursive] Error 1
1165:info:build make[1]: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7'
1166:info:build make: *** [all] Error 2
1167:info:build make: Leaving directory `/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/libcheck-check-11970a7'
1168:info:build Command failed:  cd "/opt/local/var/macports/build/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/work/check-0.15.2" && /usr/bin/make -j8 -w all
1169:info:build Exit code: 2
1170:error:build Failed to build check: command execution failed
1171:debug:build Error code: CHILDSTATUS 23327 2
1172:debug:build Backtrace: command execution failed
1173:debug:build     while executing
1174:debug:build "system {*}$notty {*}$callback {*}$nice $fullcmdstring"
1175:debug:build     invoked from within
1176:debug:build "command_exec -callback portprogress::target_progress_callback build"
1177:debug:build     (procedure "portbuild::build_main" line 8)
1178:debug:build     invoked from within
1179:debug:build "$procedure $targetname"
1180:error:build See /opt/local/var/macports/logs/_opt_local_var_macports_sources_rsync.macports.org_macports_release_tarballs_ports_devel_check/check/main.log for details.